Top

Nanotechnology Equipment

Nanotechnology Equipment

Category:

Description

Please contact us if you are interested in the Nanotechnology Equipment . The Nanotechnology Equipment is only for end users and are subject to prior sale without notice. Appreciate your time.

  1. Suss MicroTec LabSpin 8 Bench Mounted Spin Coater – 100-200mm capable system in Class 10 mini environment
  2. Allwin21 AW-1008 Plasma Asher
  3. Suss MicroTec HP8 Bench Mounted Hotplate (*) – 100-200mm capable programmable, electropolished 250C hotplate for resist bake in Class 10 environment
  4. Specialty Coating Systems 6808P Spin Coater  – pieces to 150mm capable system
  5. Allwin21 AW-B3000 Barrel Batch Plasma asher Descum
  6. Headway Research, Inc PWM32 Spin Coater – pieces to 150mm for thick/specialty resist
  7. Yield Engineering Systems (YES) LP-III Vapor Prime Oven – vacuum oven for HMDS priming
  8. EV Group (EVG) 620 Automated Mask Alignment System – double-side contact lithography and bond alignment for up to 100mm substrates
  9. EV Group (EVG) 501 Wafer Bonder – aligned covalent, thermo-compression, adhesive, and anodic bonding of up to 100mm substrates
  10. ABM Contact Aligner – manual contact aligner for up to 100mm substrates
  11. Heidelberg Direct Write µPG101 Laser Mask Writer – 3µm spot UV laser writer for contact plate and direct write applications
  12. Canon FPA-3000 i4 i-line stepper –  5X reduction projection lithography stepper for up to 200mm wafers with CDs down to 350nm
  13. WAFAB Immersion develop and solvent lift-off and resist strip baths
  14. WAFAB Piranha, RCA Clean, HF/BOE, KOH/TMAH micro-machining, and general purpose wet process stations
  15. Trident 8800 Spin Rinse Dryers
  16. EG 1034
  17. EG 2001X with NAVITAR
  18. EG4085
  19. Olympus MX50A-F with Al100-L6
  20. Nanometrics 210 Nanospec AFT
  21. HP 4062 and Testers
  22. Yield Engineering Systems (YES) 450PB – polyimide curing furnace capable of up to 450C in vacuum or nitrogen ambient with programmable ramping for stress control
  23. Blue M ovens for hard bake
  24. Nanoscribe Photonic Professional GT system – a 2-photon 3D printer system for making unique nanoscale 3D printed devices rapidly.
  25. JEOL JBX-6300FS Electron Beam Lithography System – 100kV system for direct write nano-lithography on up to 150mm substrates and is upgradable to 200mm.
  26. SPTS Rapier DRIE  high rate Deep Reactive Ion Etcher for micromaching, trench etching, and through silicon via (TSV) etch
  27. Allwin21 AW-903eR Etcher RIE
  28. Oxford Instruments Plasmalab 100 ICP-380 – Deep Reactive Ion Etcher (DRIE) for deep silicon etch applications
  29. Oxford Instruments Plasmalab 100 ICP-180 Chlorine – Inductively Coupled Plasma (ICP) etcher for silicon photonics and III-Vs
  30. Oxford Instruments Plasmalab 100 ICP-180 Fluorine – ICP high-density plasma etcher for silicon, oxides, nitrides
  31. Allwin21 AW 901eR Etch
  32. PlasmaTherm / Advanced Vacuum Vision Reactive Ion Etcher (RIE)– etcher for silicon, oxides, nitrides on up to 200mm substrates
  33. Trion Phantom Reactive Ion Etcher – etch for general purpose applications and materials
  34. Glow Research AutoGlow Barrel Asher – plasma asher for resist strip
  35. YES Downstream Asher – plasma asher for resist strip
  36. SPTS Vapor HF etcher – for release of material on SiO2/SiNx
  37. SPTS XeF2 etcher – for release of materials on silicon
  38. CHA SEC-600 – electron beam evaporator
  39. Allwin21 AccuSputter 4450 Sputter System
  40. CHA Solution – electron beam evaporator
  41. NRC 3117 – electron beam evaporator
  42. Kurt J. Lesker Lab 18 – experimental sputtering system
  43. Heatpulse 8800
  44. Heatpulse 8108
  45. Heatpulse 4108
  46. Heatpulse 4100
  47. Heatpulse 610
  48. Mini-Pulse 310
  49. Heatpulse 610
  50. Heatpulse 210
  51. RTP-3000
  52. Allwin21 Perkin-Elmer 4400 Sputter
  53. Evatec EVO Sputter tools
  54. Allwin21 AW-2001R Plamsa Etcher
  55. SPTS APM PECVD – Low temperature (125C), high-rate PECVD of SiO, SiN, TEOS, amorphous-Si, and SiOxNy on up to 200mm substrates with dual frequency supplies for stress engineering
  56. Oxford Plasma Lab 100 PECVD – PECVD of SiO, SiN, and SiOxNy
  57. Oxford Plasmalab 80PLUS OpAL ALD – Atomic layer deposition of metal-oxides
  58. Altatech AltaCVD  – pulsed CVD of metal, metallic barrier, metal-oxide and films. Currently setup for CVD TaN/Cu plating liners.
  59. Expertech Compact Thermal Reactors (CTR) (*) – diffusion/drive furnaces for annealing, doping drive, wet oxidation, and polysilicon
  60. Allwin21 AccuThermo AW 610 – dedicated rapid thermal annealers for diffusion drive and metal annealing/sintering
  61. Picosun ALD – two atomic layer deposition systems that share a common glove box for metals and metal-nitride deposition
  62. KLA-Tencor P15 – stylus based profilometer
  63. Tencor AlphaStep 200 – stylus based profilometer
  64. Allwin21 AW-105R Plasma Asher Plasma Descum
  65. Wyko NT3300 – white light interferometer for non-contact profilometery
  66. Four Dimensions 280SI 4-point Probe – 4-point probe for automated sheet resistance mapping
  67. Filmetrics F50UV – reflectometer for mapping and characterizing thin-films
  68. Nanometrics 210 – reflectometer for single-point measurements on patterned wafers
  69. JEOL JSM-7400F – scanning electron microscope
  70. Microscope, standard inspection microscope workstation
  71. Leica Digital Microscope – multi-angle, high depth-of-field digital camera microscope
  72. Keyence – Laser confocal microscope for non-contact profilometery
  73. SOLSTICE plating system – precision wafer-plating system focusing on TSV applications
  74. Disco DAD321 – semi-automatic dicing saw
  75. WestBond 4700E Semi-automatic ball-wedge bonder – programmable loop semi-automatic gold wire bonding, multi-tier and gold stud bump capable
  76. Logitech PM5 Lapping / Polishing system – lapping/polishing capability up to 100mm substrates with <1um TTV
  77. Universal Laser M25 – CO2 laser for cutting of polymers
  78. Screen printer
  79. Finetech PICO manual die bonder – manual die placer/bonder for flip-chip and metallic bonding applications
  80. Probe Stations – Cascade Microtech and Cryo-probe stations
  81. Branson IPC 3000
  82. Matrix 105
  83. Matrix 205
  84. Matrix Bobcat 209S
  85. Matrix Bobcat 209S
  86. Gasonics L3510
  87. Gasonics L3500
  88. Gasonics Aura 2000LL
  89. Matrix System One Stripper
  90. Solstice LT – wafer level plating system for RDL/TSV copper, gold, nickel, indium, and tin plating
  91. Vacuum Pre-West station – for TSV wafer prep
  92. Electroplating – gold, copper, and nickel
  93. Experimental Electroplating System – wafer plating with low volumes
  94. Strasbaugh 6EC –  Chemical mechanical polisher for 100-200mm wafers. Quick change table for conversion between metal and dielectric
  95. G&P 412 Post-CMP Cleaner – Compact double-sided PVA cleaner for 100-300mm wafers
  96. Strasbaugh 7AA – Wafer backgrinder with customized in-situ gauge for precise monitoring of grinding on 100-200mm wafers
  97. Dynatronix DuP 10-1-3 Copper Electroplating Bath – Bath used for electroplating copper
  98. Dynatronix DuP 10-1-3 Gold Electroplating Bath – Bath used for electroplating gold
  99. Solstice LT – Single Wafer-level plating system for 100-200mm with robotic control
  100. Plasma Therm 700 Plasma Etch&PECVD
  101. AMAT AMP-3300 PECVD
  102. Varian 3118 E-Beam Thermal Evaporator
  103. CVC Products AST-601 Sputter
  104. Airco Temescal FC-1800  Evaporator
  105. Temescal FC-1800 Evaporation
  106. Temescal FC-1800  Evaporator
  107. Temescal FC-1800  Evaporator
  108. Airco Temescal FC-1800 Evaporator
  109. Temescal BJD-1800 Sputter
  110. MRC 603 Sputter
  111. MRC 643 Sputter
  112. MRC 603 Sputter
  113. MRC 603 MRC 693 TES-600 Sputter
  114. Perkin-Elmer 4400 Sputter
  115. Perkin-Elmer 4400 Sputter
  116. Kurt J Lesker Dual Thermo Evaporator
  117. Plasmalab CVD-2
  118. Silicon Valley Wafer Plating Beaker on a Stick – Single wafer plating system for low volume experimental plating
  119. Disco America DAD321 – Wafer dicing capable of 150mm diameter wafers and smaller.
  120. Finetech Fineplacer – Flip-chip die bonder with thermosonic bonding and standard reflow with better than 5um alignment tolerance
  121. Logitech Logitech PM5 – Lapping and polishing machine
  122. West Bond, Inc 4700E-79 – Semi-automated ball-wedge bonder and gold stud bumper
  123. Presco D-59114 – Screen printer
  124. Strasbaugh 6EC –  Chemical mechanical polisher for 100-200mm wafers. Quick change table for conversion between metal and dielectric
  125. G&P 412 Post-CMP Cleaner – Compact double-sided PVA cleaner for 100-300mm wafers
  126. Strasbaugh 7AA – Wafer backgrinder with customized in-situ gauge for precise monitoring of grinding on 100-200mm wafers
  127. SPTS APM PECVD – Low temperature (125C), high-rate PECVD of SiO, SiN, TEOS, amorphous-Si, and SiOxNy on up to 200mm substrates with dual frequency supplies for stress tuning.
  128. Oxford Instruments Plasma Lab 100 PECVD – PECVD of SiO, SiN, and SiOxNy
  129. Altatech AltaCVD – Pulsed CVD of metal, metallic barrier, metal-oxide and films. Currently setup for CVD TaN/Cu plating liners.
  130. Expertech CTR-200 Diffusion Furnace – Diffusion/drive furnaces for annealing and doping drive
  131. Expertech CTR-200 Oxidation Furnace – Furnace for wet and dry oxidation
  132. Expertech CTR-200 LPCVD Furnace – Low pressure chemical vapor deposition furnace for polysilicon or nitride deposition
  133. Allwin21 AccuThermo AW 610 Rapid Thermal Annealer – Dedicated rapid thermal annealer for diffusion drive
  134. Allwin21 AccuThermo AW 610 Rapid Thermal Annealer – Dedicated rapid thermal annealer for metal annealing/sintering
  135. Oxford Instruments ICP 380 – Deep Reactive Ion Etch system for etching silicon using a pulse-etch process of alternating SF6 and C4F8 gases to achieve high etch rate, high aspect ratio structures.
  136. Oxford Instruments PlasmaLab 100, ICP-180 Chlorine Etcher – Highly anisotropic Inductively Coupled Plasma (ICP) etching using chlorine-based etch chemistries for etching Silicon, III-V semiconductors, aluminum and chrome. Load-locked for rapid load/unload and high process consistency.
  137. STS PRO ICP Etcher
  138. STS Multiplex DRIE
  139. STS Multiplex ICP
  140. STS MESC Multiplex ICP
  141. Multiplex ICP MACS
  142. STS multi-chamber Cluster
  143. STS Mutiplex ICP
  144. Matrix 302
  145. Matrix 303
  146. Tegal 903e Plasma Etch
  147. Tegal 903e Plasma Etch
  148. Tegal 903e Plasma Etch
  149. Lam AutoEtch 590
  150. Lam Auto Etch 590
  151. Lam Rainbow 4728
  152. Plasma Therm 700
  153. Plasmatherm SLR 720
  154. Plasmatherm 790
  155. Branson/IPC 4150
  156. Branson/IPC 3000
  157. Oxford Instruments PlasmaLab 100, ICP-180 Fluorine Etcher – Highly anisotropic Inductively Coupled Plasma (ICP) etching using fluorine-based etch chemistries. Load-locked for rapid load/unload and high process consistency. An LN2-cooled stage provides cryo-etching capabilities.
  158. SPTS-DRIE Rapier Deep Si (Dsi) Etcher – Deep Reactive Ion Etch system for etching silicon using a pulsed-etch process with advanced features such as parameter ramping, footing control, and fast switching to achieve high etch rate, high aspect ratio structures on up to 200mm wafers with minimal aspect-ratio effects.
  159. Trion Phantom RIE – A parallel-plate Reactive Ion Etch (RIE) system. Open load for flexible substrate handling. Etch gases are: Ar, CF4, CHF3, N2, O2, and SF6.
  160. Plasmatherm Vision RIE – A parallel-plate Reactive Ion Etch (RIE) system. Open load for flexible substrate and materials handling.
  161. JEOL JBX-6300FS Electron Beam Lithography System – Direct-write 100 keV Electron Beam Lithography system producing feature sizes as small as 10 nm, and layer-to-layer registration ~30 nm m+3s, on up to 150mm substrates and is upgradable to 200mm
  162. Suss MicroTec LabSpin 8 Bench Mounted Spin Coater – 100-200mm capable programmable spin-coating system in Class 10 mini environment
  163. Suss MicroTec HP8 Bench Mounted Hotplate – 100-200mm capable programmable hot plate, electropolished 250C hotplate for resist bake in Class 10 environment
  164. Specialty Coating Systems (SCS) 6808P Spin Coater – Spin-coating system capable for pieces to 150mm
  165. Headway Research PWM32 Spin Coater – Spin-coating system capable for pieces to 150mm with thick/specialty resist
  166. ABM Contact Aligner – Manual contact aligner for up to 100mm substrates
  167. EV Group (EVG) 620 Automated Mask Alignment System – Double-side contact lithography and bond alignment system for up to 100mm substrates
  168. Heidelberg Direct Write µPG101 Laser Mask Writer – 3µm spot UV laser writer for contact plate and direct write applications
  169. Canon FPA-3000 i-line stepper – 5x reduction projection lithography stepper for wafers of up to 200 mm diameter with critical dimensions down to 350 nm
  170. Yield Engineering Systems (YES) LP-III Vapor Prime Oven – Vacuum oven for HMDS priming
  171. Yield Engineering Systems (YES) 450PB – Polyimide curing furnace capable of up to 450C in vacuum or nitrogen ambient with programmable ramping for stress control
  172. GCA/Precision Scientific 368A – Vacuum Oven
  173. Fisher Scientific 725G Photoresist Bake Oven (Top) – Oven for photoresist post bake at 110-120 C
  174. Fisher Scientific 725G Photoresist Bake Oven (Bottom) – Oven for photoresist soft bake at 90 C
  175. Yield Engineering Systems (YES) CV-200FRS Plasma Asher – Tray loaded plasma asher for stripping resist/descum on up to 200mm wafers.
  176. Nanonex NX-B100 Nanomprintor – System for thermal nanoimprinting
  177. Glow Research AutoGlow – Barrel asher used to strip resist or other organic films, descum patterned resist, or clean surfaces of residual organics using an isotropic oxygen plasma.
  178. EVG 501 – Thermal compression anodic bonding for Si and glass substrates.
  179. Leica Reihert Polylite 88 Inspection Microscope – High resolution optical microscope
  180. Leica MDC56 Inspection Microscope – High resolution optical microscope with a digital camera mounted
  181. Nikon Optiphot 200 Inspection Microscope – High-resolution optical microscope including dark-field, polarized and Normarski interference imaging. LED light sources for both reflected and transmitted illumination, and a CMOS camera for image capture.
  182. Zeiss Axiotron Inspection Microscope – High resolution optical microscope
  183. Tencor Alpha Step 200 – Stylus profilometer for measuring vertical step heights in a wide range of materials.
  184. Bruker DektakXT – A surface profilometer for physical measurement of topography on wafers. Can program a sequence of locations to scan, measurement of topography, as well as calcuation of film stress.
  185. Tencor P-15 – A surface profilometer for physical measurement of topography on wafers. Can program a sequence of locations to scan, measurement of topography, as well as calcuation of film stress.
  186. Wyco NT3300 – Non contact, highly accurate, 3D surface topography measurements using optical interference.
  187. Four Dimensions 280 SI – The Four Dimensions Four-Point Probe Model 280 SI is capable for making sheet resistivity measurements on various samples. It can map the sheet resistance of samples up to 8 inches in diameter. There are standard maps in the system and custom maps can be created.
  188. Mitutoyo Absolute – ABS Digimatic indicator ID-C is a standard digital thickness gauge
  189. Filmetrics F50 – Optical measurement of thin film layers on various substrates. Uses spectral reflectance to determine film thickness, refractive index, and extinction coefficient by scanning wavelengths from 200 to 1700 nm.
  190. JEOL JSM7400F – Very high-resolution cold field emission Scanning Electron Microscope (SEM), provides imaging down to a few nm. Conventional, in-lens and backscattered electron detectors are available.
  191. Nanospec 210 – Single-point spectral reflectance to measure film thickness and refractive index.
  192. Leica Leica E24 – High resolution optical microscope
  193. VWR Scientific Inc. 1410 – Vacuum Oven (small, black)
  194. Oxford Instruments Plasmalab 80PLUS OpAL ALD – Atomic layer deposition of metal-oxides
  195. Specialty Coating Systems (SCS) Labcoter 2010 – Parylene deposition system
  196. CHA SEC-600 E-beam Evaporator – Cryo-pumped electron beam evaporator for depositing metal films.The system holds 4 crucibles and has planetary fixtures
  197. CHA Solution E-beam Evaporator – Electron beam evaporator for depositing metal films. The system has 6 pockets for different materials during deposition.
  198. NRC 3117 E-beam Evaporator – Electron beam evaporator for depositing thin metal films. It is diffusion pumped. The system has a 4 pocket hearth
  199. Kurt J. Lesker Lab 18 Sputter  – Cryo pumped system used for sputter deposition of films, with DC, RF, and pulsed DC power supplies.
  200. Thinky-Mixer ARE-250 – The THINKY ARE-250 Mixer is an industrial non-contact “planetary” mixer for all engineering compounds. It mixes, disperses and degasses your materials in seconds to minutes.
  201. Desert Ctyogenics TTP4 – Cryogenic manipulated-probe station used for non-destructive electrical testing of devices on full and partial wafers
  202. CASCADE Microtech M150 – Manual probing station for wafer probes and microwave testing
  203. Keithley 2600-PCT – High voltage parametric curve tracer with 8020 high power interface panel
  204. Keithley PCT-CVU – Multi-frequency capacitance-voltage meter
  205. Keysight 26.5 GHz FieldFox Microwave Analyzer – Microwave spectrum analyzer and vector network analyzer system for microwave, antenna, and high-speed datalink measurement
  206. Keysight Test equipment bundle – Waveform generators, digital multimeters, power supplies, amplifiers, 4-ch oscilloscope, IV
  207. Avenger Ultra-Pure – Spin Rinse Dryer (SRD) used to rinse and dry wafers.
  208. Avenger Ultra-Pure – Spin Rinse Dryer (SRD) used to rinse and dry wafers.
  209. WAFAB International Piranha Workstation
  210. WAFAB International Piranha Workstation
  211. WAFAB International Piranha Workstation
  212. WAFAB International Piranha Workstation
  213. WAFAB International Piranha Workstation
  214. WAFAB International Piranha Workstation
  215. WAFAB International Piranha Workstation
  216. WAFAB International Piranha Workstation
  217. WAFAB International Piranha Workstation
  218. Oerlikon EVO II PVD Cluster – PVD production tool common loadlock w/ 5 sputter targets, plasma clean
  219. Oerlikon EVO II PVD Cluster – PVD production tool common loadlock w/ 5 sputter targets, plasma clean
  220. Northstar Imaging (NSI) X5000 Dual Source X-ray – Full CT X-ray, with dual source for microCT

Please contact us for more information on the product:

[dynamichidden dynamichidden-813 "CF7_URL"]

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

ss380Washington

All used equipment /parts trademarks belongs to the original equipment manufacturer. All rights reserved. 

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers