Description
Please contact us if you are interested in the following AMAT Equipment and Parts. The Equipment and Parts are only for end users and are subject to prior sale without notice. Appreciate your time.
1 | 0020-86496 / SUPPORT ARM G2 QX+ / APPLIED MATERIALS AMAT | |
2 | 0010-00367, AMAT, GRIPPER ASSY, 150MM OX | |
3 | 0010-00938, ASSY ESC_RF 200MM FLAT DUAL ZONE EMXP | |
4 | 0010-01183, APPLIED MATERIALS, ASSY, FILTER BOX, HT CATHODE, DPS | |
5 | 0010-01196, APPLIED MATERIALS, HEATER ASSY, 8″ JMF LT BESC | |
6 | 0010-01286 AMAT Endura 5500 8” SNNF MCA E-CHUCK, NI PLATED | |
7 | 0010-01393, Applied Materials, SUSCEPTOR ASSEMBLY, BB TEOS, 200MM | |
8 | 0010-01394, AMAT, SUSCEPTOR ASSEMBLY, TEOS, 200MM | |
9 | 0010-01886, AMAT, ASSY, CERAMIC DOME, ES DTCU, V-ELECTRODE | |
10 | 0010-01929 / ASSY, RF MATCH, BIASED ELECTRODE BESC H.E. (HIGH EFFICIENCY)/ AMAT | |
11 | 0010-01933, APPLIED MATERIALS, ASSY,HEATER, 8″, HA12 LONG SHAFT COMMON | |
12 | 0010-02406 AMAT 8″ ENHANCED DEGAS LAMP ASSY | |
13 | 0010-02846,APPLIED MATERIALS, CAPACITOR .03UF ASSEMBLY, ICE MATCH | |
14 | 0010-02895, APPLIED MATERIALS SPARE PARTS | |
15 | 0010-02991, AMAT, ASSY SUSCEPTOR 150MM BSE BWCVD | |
16 | 0010-03323, Applied Materials, HEATER, HEATER, ASSY 8″ NHT .029 EXTRA WIDE POCK | |
17 | 0010-03324, Applied Materials, HEATER, ASSY 8″ NLT .029 EXTRA WIDE POCK | |
18 | 0010-03338, Applied Materials, ASSY HEATER 8″ SR OSCR WXZ | |
19 | 0010-03339 HEATER, 8″ SR AMJ WXZ | |
20 | 0010-03341, Applied Materials, HEATER, ASSY, 8″ NHT-NT .029 AMJ WXZ | |
21 | 0010-03342, Applied Materials, HEATER, ASSY, 8″ NLT-NT .029 AMJ WXZ | |
22 | 0010-03368 AMAT ASSY HEATER 8″ NHT .029 NCSR OSCR WXZ | |
23 | 0010-03369, Applied Materials, ASSY HEATER 8″ NHT-NT .029 OSCR WXZ | |
24 | 0010-03370 AMAT ASSY HEATER 8″ NLT-NT .029 OSCR WXZ | |
25 | 0010-03371 ASSY HEATER 8″ J 390 .029 NCSR OSCR WXZ AMAT | |
26 | 0010-03486-003 /PVD MAGNET/ APPLIED MATERIALS AMAT | |
27 | 0010-03872, AMAT, ASSY, SABPSG 8″ T1SABB THICK SUSCEPTOR | |
28 | 0010-04064/ ASSY, DIRECT DRIVE THROTTLE VALVE / APPLIED MATERIALS AMAT | |
29 | 0010-04082 (or 0010-06286) AMAT, ASSY, POWER MODULE, 208VAC, END PT DETECT | |
30 | 0010-04451, Applied Materials, ASSY,JMF 200MM SUB ZERO BESC WELDMENT | |
31 | 0010-04536, APPLIED MATERIALS, ASSEMBLY, ALL QUARTZ APPLICATOR, RPN ON | |
32 | 0010-04561 ASSEMBLY BIASED ELECTRODE AC BOX W/ 0010-01831 AMAT | |
33 | 0010-05223, AMAT, ASSEMBLY, 200MM PLASMA CELL, END PT DETE | |
34 | 0010-05398, APPLIED MATERIALS, BRUSH MOUNT ASSY, DRIVE | |
35 | 0010-05692 ASSY., HEATER, PURGE, JMF, 200MM, HP TXZ AMAT | |
36 | 0010-05856, Applied Materials, HEATER ASSY 300MM DUAL ZONE H17 D13 RIGI | |
37 | 0010-05960, APPLIED MATERIALS, ASSY, CATHODE, 200MM FLAT CESC, IS, 5000 | |
38 | 0010-06229, APPLIED MATERIALS, ASSY, ESC/FR, 200MM NOTCH, 197.5MM OD, D | |
39 | 0010-06464, 0021-21873, 0010-21874, 0021-22011 SZ BESC MOTORIZED LIFT AMAT | |
40 | 0010-07155, Applied Materials, ASSEMBLY, TOP MATCH, ULTIMA X 200MM, HDP | |
41 | 0010-07815, APPLIED MATERIALS, ASSY, 300MM SLT ESC WITH CENTER TAP META | |
42 | 0010-08167, Applied Materials, 300mm Dual Zone Ceramic Heater | |
43 | 0010-08173, APPLIED MATERIALS, ASSY, SOURCE CONTROL (SCA), DPN, 200MM, | |
44 | 0010-09019, APPLIED MATERIALS, THROTTLE VALVE ASSY, ETCH | |
45 | 0010-09035 Applied Materials AMAT ASSY BWCVD THROTTLE VALVE 100,125 &150MM | |
46 | 0010-09340 Applied Materials AMAT P-5000 LIFT ASS’Y | |
47 | 0010-09341 WAFER LIFT ASSY ( | |
48 | 0010-09341, Applied Materials, ASSY,WAFER,LIFT,DRIVE | |
49 | 0010-09348, Applied Materials, ASSY, UNIVERSAL THROTTLE VALVE | |
50 | 0010-09416 ASSY RF MATCH ETCH (NEED | |
51 | 0010-09441, Applied Materials, ASSY WAFER LIFT D POSN PRSP | |
52 | 0010-09490 – AMAT – ASSY RF MATCH BW ETCHBACK – TESTED/WORKING! WARRANTY! | |
53 | 0010-09490 RF MATCH ETCHBACK ASSY | |
54 | 0010-09547 200MM BWCVD LAMP MOD | |
55 | 0010-09662, AMAT, ASSY SUS .271 THK 200MM BWCVD | |
56 | 0010-09750 ASSY, CVD RF MATCH DC BIAS (us | |
57 | 0010-09787, AMAT, ASSY SUSC 200MM T2 BSE | |
58 | 0010-09795 UNIVERSAL TEOS LID ASSY. | |
59 | 0010-09837 ASSY, WAFER LIFT, BWCVD, | |
60 | 0010-09837 ASSY, WAFER LIFT, BWCVD, | |
61 | 0010-09837, APPLIED MATERIALS, TUNGSTON WAFER LIFT | |
62 | 0010-09924, Applied Materials, THROTTLE VALVE ASSY, DUAL SEAL SHAFT | |
63 | 0010-09933;; Applied Materials, HV MODULE ASSEMBLY ESC | |
64 | 0010-09935 MONOCHOMATOR MODULE ASSY | |
65 | 0010-09935 MONOCHRONOMETER | |
66 | 0010-09969, APPLIED MATERIALS, OUTER HOUSING ASSY HRTV | |
67 | 0010-09978 ASSY LAMP MODULE AU-PLATED 200 | |
68 | 0010-09979, Applied Materials, ASSY LAMP MODULE AU-PLATED BASIC | |
69 | 0010-10023; 0100-09222; APPLIED MATERIALS, CHAMBER INTERFACE ASP POS D | |
70 | 0010-10079 Assy, HEATER LIFT WXZ CVD P5 | |
71 | 0010-10128, AMAT, ASSY, SUSCEPTOR ,SQ P21 200MM, BWCVD SR | |
72 | 0010-10214, AMAT, SUSC-ASSY, 150MM-TG | |
73 | 0010-10214, Applied Materials, SUSC-ASSY, 150MM-TG | |
74 | 0010-10259, Applied Materials, ASSY, SUSC, 8″, 8MM THK,WSI | |
75 | 0010-10283, APPLIED MATERIALS, SUSCEPTOR LIFT BASIC ASSEMBLY | |
76 | 0010-10291 Heater, FC, Notch, low t | |
77 | 0010-10327 SHELL ASSY, ESC & PEDESTAL, 2 | |
78 | 0010-10386 LOAD LOCK LIFTER COVER ASSEMBL | |
79 | 0010-10430 ASSY, DOPANT AMPULE CHAM | |
80 | 0010-10448 HINGE ASSY, R2 ETCH CHAMBER | |
81 | 0010-10474, APPLIED MATERIALS, ASSEMBLY,THROTTLE VALVE,R2 CHAMBER | |
82 | 0010-10517, AMAT, SUSC-ASSY, 150MM, WSIX-DCS | |
83 | 0010-10521, AMAT, SUSCEPTOR 8 INCH, T1 THICK | |
84 | 0010-10527 PADESTAL ASSY 200MM FLAT IS NI | |
85 | 0010-10528 PEDESTAL ASSY, 200MM, NOTCH, | |
86 | 0010-10551 Endpoint Chassis P5000 | |
87 | 0010-10556 MONOCHORMETER MOD. ASSY. | |
88 | 0010-10565, AMAT, ASSY,BABPSg 8″-6″ TISABB THK SUSCEPTOR | |
89 | 0010-10581, APPLIED MATERIALS, THROTTLE VALVE ASSY, REMOVABLE(RTV) | |
90 | 0010-10612 ASSEMBLY,LID, KALREZ 203 | |
91 | 0010-10623, Applied Materials, ASSY, LIFT,EXZGECO | |
92 | 0010-10631 ASSY, NON-HEATED THROTTLE VALV | |
93 | 0010-10640, AMAT, MXP + SIM CATH, BELLOWS ASSY | |
94 | 0010-10741 Assy, ASSY,HEATR,6’CIR,WXZ,P | |
95 | 0010-10901 HV MODULE ASSY RF BOX | |
96 | 0010-10953 BLADE ASSY. 8″ NOTCH SST | |
97 | 0010-10957, APPLIED MATERIALS, ASSY DRIVE FC WXZ P5000 W/VITON | |
98 | 0010-13068, APPLIED MATERIALS, ENDPT DETECTOR PRODUCER, PLASMA CELL ASSY 300MM | |
99 | 0010-13138 ASSY, TRAY, 200MM. .450 SPG. W | |
100 | 0010-13140 ASSY, 15-SLOT TRAY, 200M | |
101 | 0010-13150 Independent Helium Press | |
102 | 0010-13150, APPLIED MATERIALS, ASSY, IHC CHAMBER D $ SHC (20SCCM) | |
103 | 0010-13152, APPLIED MATERIALS, ASSY, IHC CHAMBER D & SHC (50 SCCM) | |
104 | 0010-13304 DELTA, REMOTE FRAME PHASE III | |
105 | 0010-13321 /ASSY, ROBOT DRIVE, 8 / APPLIED MATERIALS AMAT | |
106 | 0010-13627 ASSY RF MATCH, HIGH EFFI | |
107 | 0010-13627 REPAIRED,High Efficiency RF Match | |
108 | 0010-13627, APPLIED MATERIALS, ASSY, HIGH EFFICIENCY RF MATCH-BIAS | |
109 | 0010-15210, 0010-34561 /200MM PCIIE, MCA GRV .1MM DEEP PUCK HV / AMAT | |
110 | 0010-16577, ASSEMBLY, TC FILTER MODULE, 300MM AMAT | |
111 | 0010-16858-001/ PEDESTAL, 300MM SDMCA FDR SLT ESC ASSY (0010-14204-001/ AMAT | |
112 | 0010-17447 / CONTROLLER ASSY 8 T/C TEMP CONTROLLER 30 / AMAT | |
113 | 0010-18088, AMAT, THROTTLE VALVE ASSEMBLY, HDP-CVD,LOW K | |
114 | 0010-19253 / ENABLE RF COUPLER PLATE REV-2 / AMAT | |
115 | 0010-20000 Assy POWER SUPPLY 15V | |
116 | 0010-20088 Assy, 8′ OPTICS , ORIENTER, | |
117 | 0010-20130, 0020-70285, 0020-21105, AMAT, BLADE ASSY 8 BUFFER, CENTURA | |
118 | 0010-20230, APPLIED MATERIALS, ORIENTER LIFT, UPTIME | |
119 | 0010-20417, APPLIED MATERIALS, ASY,WAFER LIFT PRECLN II | |
120 | 0010-20440, APPLIED MATERIALS, ASSY, 5″ RF MATCH | |
121 | 0010-20442, AMAT, ASSY, 8″ RF MATCH | |
122 | 0010-20480 ASSY 200MM CASSETTE HANDLER LE | |
123 | 0010-20481 ASSY 200MM CASSETTE HANDLER RI | |
124 | 0010-20481, AMAT, ASSY 200MM CASSETTE HANDLER (LLB) | |
125 | 0010-20525 / RF MATCH PVD/PC II0 / APPLIED MATERIALS AMAT | |
126 | 0010-21495 AMAT MOTORIZED LIFTER ASSEMBLY | |
127 | 0010-21495 MOTORIZED, LIFTER ASSY | |
128 | 0010-21669 ,WITH MAG# 0010-21676 / VECTRA IMP SOURCE PVD / AMAT | |
129 | 0010-21706 VECTRA IMP .015 CAP PVD | |
130 | 0010-22184, APPLIED MATERIALS, HEATER ASSEMBLY, 300MM MCA E-CHUCK | |
131 | 0010-22326, APPLIED MATERIALS, ASSY, N2 HEATER FOR REMOTE GAS BOX | |
132 | 0010-22400, Applied Materials, HEATER W/BEARING, BIASABLE, LOW TEMP | |
133 | 0010-24076, APPLIED MATERIALS, 300MM MCA SNNF LOW TEMP ESC | |
134 | 0010-24076, APPLIED MATERIALS, 300MM MCA SNNF LOW TEMP ESC | |
135 | 0010-24539/ VEIWPORT LID, ENDURA 2 PVD CHAMBER/ AMAT | |
136 | 0010-24774/ 300MM AMAT ESC ASSY /APPLIED MATERIALS | |
137 | 0010-25893 / PVD RF MATCH PRECLEAN II 200MM / AMAT | |
138 | 0010-26139 / MIRRA CMP 6-PORT ASSY 200MM SPINDLE / AMAT | |
139 | 0010-26264(0040-88097) AMAT Applied Materials 300MM VACUUM CHUCK HEATER | |
140 | 0010-27430, APPLIED MATERIALS, 12″ MCA E CHUCK, HI-TEMP, SNNF | |
141 | 0010-27983, APPLIED MATERIALS, 12″ PIB HT BESC D4 Assy | |
142 | 0010-29710, APPLIED MATERIALS, ASSY, 300MM MCA E-CHUCK | |
143 | 0010-29963 / CONTROLLER CCM ENABLER CS / AMAT | |
144 | 0010-30012, AMAT P5000 OPTIMA MC ROBOT | |
145 | 0010-30013 6″ SIMPLE CATHODE, MOD | |
146 | 0010-30091 HV Module ESC | |
147 | 0010-30109, PEDESTAL ASSY,200MM NOTCH,S-XTAL,EDGE FE | |
148 | 0010-30246, Applied Materials, SUSCEPTOR ASSY 150MM WSIX-DCS | |
149 | 0010-30360 CONNECTION SHIELD ASSY | |
150 | 0010-30421, 0010-03349, Applied Materials, ASSY HEATER 8″ NHT .29 OSCR WXZ | |
151 | 0010-30451 ASSEMBLY, INTERLOCK BOARD, DPA | |
152 | 0010-30686 HI-EFFICIENCY RF MATCH, ETC, M | |
153 | 0010-30723 AMAT PEDESTAL ASSY, 200MM, NOTCH(2), GEN 2 | |
154 | 0010-30724 PEDESTAL ASSY, 200MM, FLAT, | |
155 | 0010-34437 / ASSY SOURCE FILTER 16 INCH EPSILON / AMAT | |
156 | 0010-35456 / EPSILON 300MM CATHODE BASE SUB LEVEL / APPLIED MATERIAL AMAT | |
157 | 0010-35457 / EPSILON 300MM DUAL HEATED ZONE ASSY / APPLIED MATERIAL AMAT | |
158 | 0010-35497 TOP LID SSGD, METCH 5000 | |
159 | 0010-35779, Applied Materials, ASSY, MATCH, H-5/H-6 SOURCE, DPS POLY | |
160 | 0010-36067 CENTURA LAMP,MODULE AV-PLATED | |
161 | 0010-36162 SIMPLE CATHODE RF MATCH | |
162 | 0010-36162 SIMPLE CATHODE RF MATCH ASSY | |
163 | 0010-36162, 0010-33641, APPLIED MATERIALS, RF MATCH,SIMPLE CATHODE | |
164 | 0010-36394, APPLIED MATERIALS, ASSEMBLY COIL H12, DPS POLY | |
165 | 0010-36417, 0040-36180 / ASSY,HEATER TXZ,200MM,SNNF / AMAT | |
166 | 0010-36417, AMAT, ASSY,HEATER TXZ,200MM,SNNF | |
167 | 0010-36439, APPLIED MATERIALS SPARE PARTS | |
168 | 0010-36479, APPLIED MATERIALS, ASSY,EDGE RING,TXZ,150MM,SMF | |
169 | 0010-36523, SUSC ASSY, 150mm CLF3-DCS | |
170 | 0010-36940 AUTO BAIS PHASE IV MATCH 200MM | |
171 | 0010-37121 ORIENTER ROTATION ASSY | |
172 | 0010-37138, APPLIED MATERIALS, DXZ HEATER ASSY, 8″ HI-TEMP ALUM, VER 2. | |
173 | 0010-37151, APPLIED MATERIALS, THROTTLE ASSY, UNIV DIRECT DRIVE C-PLUG | |
174 | 0010-37702, APPLIED MATERIALS, 5000/5200 THROTTLE VALVE | |
175 | 0010-37918,0100-00376/ASSY,PCB ENCLOSURE,SOURCE SINGLE CONDITIONING UNIT/AMAT | |
176 | 0010-38027 Throttle Valve Assy | |
177 | 0010-38265, AMAT, TEOS INTLK ASSY A/B & C/D | |
178 | 0010-38437 CHUCK, PLASMA, 200MM, | |
179 | 0010-38743, APPLIED MATERIALS, ASSY, OPTICAL RECESS ENDPOINT MODULE | |
180 | 0010-38810, APPLIED MATERIALS, ASSY, THROTTLE VALVE UPSTREAM, NITRIDE W | |
181 | 0010-39735 CLAMP LID, KALREZ | |
182 | 0010-39867 HV MODULE ASSY RF BOX | |
183 | 0010-40093, APPLIED MATERIALS, E-CHUCK PEDESTAL ASSY, 150MM SF, BIAS QC | |
184 | 0010-40296, APPLIED MATERIALS, ANTENNA-DOME ASSY,DOS,MARK IV,CHAMBER | |
185 | 0010-56222, AMAT, CERAMIC, DUAL ZONE HEATER | |
186 | 0010-60015 Refurbished Susceptor, Refurb | |
187 | 0010-70000 assy,minicontroller,w/teos opt | |
188 | 0010-70001 6″ CASSETTE HANDLER ASSY | |
189 | 0010-70058 29 SLOT STORAGE ELEVATOR, | |
190 | 0010-70271, APPLIED MATERIALS, ASSY 101 WAFER LIFT | |
191 | 0010-70271, APPLIED MATERIALS, ASSY 101 WAFER LIFT | |
192 | 0010-70321 SLIT VALVE | |
193 | 0010-70408 Assy 15 POS Cover Lock L | |
194 | 0010-70648 MXP+,LID,SCR DWN,CHEMRAZ 513, | |
195 | 0010-70792 Clmp, LID,CHEMRAZ513,STD,EMX | |
196 | 0010-76000 AMAT ROBOT 4, 5, 6 INCH ASSEMBLY DRIVE P5000 | |
197 | 0010-76000 P5000 APPLIED MATERIALS AMAT ROBOT ASSY + WARRANTY + ISO 9001 | |
198 | 0010-76001 ASSY, STORAGE ELEVATOR, WAFER | |
199 | 0010-76005 ASSY BLADE ROBOT 8″ | |
200 | 0010-76017 125mm Cassette Assembly | |
201 | 0010-76019 ASSY 8″ CASSETTE HANDLER | |
202 | 0010-76036/ ASSY MINI CONTROLLER W/TEOS OPTION VERSI /APPLIED MATERIALS AMAT | |
203 | 0010-76172 ASSY THROTTLE VALVE NITRIDE | |
204 | 0010-76246 15 SLOT STORAGE ELEVATOR | |
205 | 0010-76412, Applied Materials, ASSY 6″ QUARTZ BLADE | |
206 | 0010-76474, Applied Materials, ASSY,CERAMIC 8″ BUFFER BLADE | |
207 | 0010-77296, Applied Materials, ASSEMBLY, NO-LAP, NOTCHED | |
208 | 0010-77323, AMAT, GEAR ASSY | |
209 | 0010-77721, APPLIED MATERIALS, BLADE, ROBOT NARROW | |
210 | 0010-90812; AMAT, GAS PANEL | |
211 | 0010-A6861 / SONY CAMERA POWER SUPPLY / APPLIED MATERIALS AMAT | |
212 | 0010-B8740 / CLT AIR FAN PACK CONTROLLER UNIT / APPLIED MATERIALS AMAT | |
213 | 0015-20115 -STANDARD BODY / WATER COO | |
214 | 0020-00022 AMAT PLATE PERF 8″ EC WXZ | |
215 | 0020-03433 PEDESTAL 4″ EXT | |
216 | 0020-03435, APPLIED MATERIALS, PEDESTAL, 6, EXT | |
217 | 0020-03811, AMAT, DISK, SHUTTER, B101, TAN, DIA 7.94, 200M | |
218 | 0020-04172 Insert, Base, P5000 | |
219 | 0020-04172, AMAT, INSERT, BASE (EXT, CATHODE) | |
220 | 0020-04225 COVER ENDPOINT MODULE ASSY | |
221 | 0020-05425, AMAT, COIL 1/8 THK CTR HOLES-KNURLED, VECTRA I | |
222 | 0020-08621, APPLIED MATERIALS, REFELCTOR PLATE 2MM PURGE RADIANCE CHAMB | |
223 | 0020-090660, Applied Materials, CHAMBER BODY | |
224 | 0020-09911, AMAT, Applied Materials CARRIER, SPUTTER, From P5000 Sputter kit | |
225 | 0020-10403 PUMPING PLATE SiOX | |
226 | 0020-10414, APPLIED MATERIALS, AMPULE, TEOS/DOPANT | |
227 | 0020-10727 AMAT COVER,TOP,4,5,6″ TEOS | |
228 | 0020-13679, AMAT, PLATE,HT-COOLDOWN PEDESTAL,200/300MM | |
229 | 0020-13721, Applied Materials, BLADE, 8″ BUFFER HTHU CHAMFERED | |
230 | 0020-13721, BLADE, 8″ BUFFER HTHU CHAMFERED, 0020-21105, CLAMP 8″BUFFERFROG LEG | |
231 | 0020-13814 200mm Blade W/Wafer Pock | |
232 | 0020-13814, Applied Materials, 200MM BLADE W/WAFER POCKET MC-ROBOT P500 | |
233 | 0020-13814, Applied Materials, 200MM BLADE W/WAFER POCKET MC-ROBOT P500 | |
234 | 0020-19001 AMAT Etch, Spacer Slit Valve | |
235 | 0020-22982 ADAPTER TIN 8″WAFER CHAMBER 16 | |
236 | 0020-23559 Magnet Assembly, Applied Materials (AMAT) | |
237 | 0020-23811, AMAT, APPLIED MATERIALS, 8 coherent with 1.251 0.5 hex, , | |
238 | 0020-24387, AMAT, PEDESTAL 6″ 101 SST/MATL | |
239 | 0020-25866, AMAT, APPLIED MATERIALS, SHIELD UPPER 8inch, , | |
240 | 0020-26224, APPLIED MATERIALS, ADAPTER FOIL COL 1.5:1 COH TI SST | |
241 | 0020-26225 AMAT, ADAPTER FOIL COL 1.25:1 COH TI SST | |
242 | 0020-27311 AMAT, COVER RING 8″ 101% TI AL FLAME SPRAYED | |
243 | 0020-27472 AMAT, PLATE, MTG. DRIVE MOTORS, 5000 MC ROBOT | |
244 | 0020-28434, AMAT, APPLIED MATERIALS, CLAMP RING 8 JMF TI PVD DEGAS HTHU BU, | |
245 | 0020-30481, 0020-09029, 0020-31570, 0020-30482 CARRIER ASSY WITH FLEX COUPLING | |
246 | 0020-30629 PEDESTAL POLY/WSI 200MM NOTCH, | |
247 | 0020-30678 RING, CLAMPING VESPEL, 15MM PO | |
248 | 0020-31347 BASE LAMP MODULE AU-PLAT | |
249 | 0020-31558 PLATE, RF BOX, ESC, | |
250 | 0020-31606 HOOP, 125MM, CHUCK ALUM, ASP ( | |
251 | 0020-31654, AMAT, Applied Materials, CLAMP,VESPEL,OX,125MM FLAT | |
252 | 0020-31658 Vespel Clamp 200mm | |
253 | 0020-31658, AMAT, Applied Materials, CLAMP, VESPEL, OX_MLR_NIT, 200MM P5000 | |
254 | 0020-31708, AMAT, APPLIED MATERIALS, CLAMP VESPEL OX-MLR-NIT 150MM | |
255 | 0020-31830 PLATE,ADAPTER, ETCH CHAMBER TO | |
256 | 0020-31886 FLANGE, INSULATION, UNIBODY, . | |
257 | 0020-32320, AMAT, GAS DISTR PLATE, 133 HOLES, OXALIC 5-7MI | |
258 | 0020-33023 BEARING SPACER ECCENTRIC LINK | |
259 | 0020-34030 Pedestal, 200mm | |
260 | 0020-34035 SUPPORT, LIFT CYLINDER, SIMPLE | |
261 | 0020-34326 / GAS INLET, COVER SSGD / AMAT | |
262 | 0020-34694 LINER, BOLT DOWN LID, MXP+ | |
263 | 0020-34694 REFURB,LINER, GDP, R2 OXIDEGEC | |
264 | 0020-39685 SLEEVE INSULATING IR TEMP | |
265 | 0020-39685, AMAT, SLEEVE, INSULATING, IR TEMP | |
266 | 0020-60040, 0010-60018, Applied Materials, SUSC NITRIDE GIANT GAP 6” CF4 CLEAN | |
267 | 0020-60273, AMAT, APPLIED MATERIALS COVER RING 8inch HTR 101, | |
268 | 0020-70137 STR RLF DELRING 1″ TOP 0.375R | |
269 | 0020-70285, BLADE 8″, BUFFER, FROG LEG, 0020-21105, CLAMP 8″BUFFERFROG LEG | |
270 | 0020-84649 / LINER LOWER YTTRIA COATED HART 3 / AMAT | |
271 | 0021-00042 FACEPLATE, TEOS DXZ DCVD | |
272 | 0021-00279, APPLIED MATERIALS, FILLER HOUSING, SDLIT VALVE, INSERTS | |
273 | 0021-00280, APPLIED MATERIALS, INSERT HOUSING, SLDIT VALVE, INSERTS | |
274 | 0021-00460, AMAT, PLATE, PUMPING, 8″ FC, AXZ | |
275 | 0021-00759 /COLLAR RING, SHOWER HEAD, 200MM FLAT, ES / AMAT | |
276 | 0021-03527, APPLIED MATERIALS, PLATE BOTTOM BPSG RFLTR 200MM XE CH RTP | |
277 | 0021-04834 /LINER,DI SEAL,METAL R2,1/4 THK./ AMAT | |
278 | 0021-06043, APPLIED MATERIALS, ADAPTER, DXZ, TOP MOUNT, FLUORINE GENERA | |
279 | 0021-08688, APPLIED MATERIALS, COVER, BEARING, THIN, RPN | |
280 | 0021-09154, AMAT, COVER CLEAR LID, ENHANCED UNIBODY | |
281 | 0021-09474, Applied Materials, MOUNTING RINGS | |
282 | 0021-09506, 0200-10297, APPLIED MATERIALS, MOUNTING RING,DOME,DPS | |
283 | 0021-09835 LINER, POLY, MXP+ | |
284 | 0021-10223 Liner Chamber Clamp Lid | |
285 | 0021-11298, Applied Materials,OUTER CLAMP, 8″ TITAN HD II | |
286 | 0021-12063 / SHIELD, ONE PIECE, 190MM T-S / APPLIED MATERIALS AMAT | |
287 | 0021-17726, AMAT, COVER RING, 8″ SIP TA/TAN, SZBESC, INTEL | |
288 | 0021-18436, AMAT, COVER RING, 200MM, BESC, TITANIUM | |
289 | 0021-20486, APPLIED MATERIALS, PEDESTAL 8IN, 101 COVERAGE FULLY GRIT BLA | |
290 | 0021-20588, AMAT, CLAMP RING,8″ JMF,SST,BUFFER THRU A | |
291 | 0021-21034, AMAT, CLAMP RING, 8″ SNNF, HOT AL, 6 PAD HTHU | |
292 | 0021-21234, AMAT, APPLIED MATERIALS,SHIELD,UPPER HI-COND TIN LONG, 300MM PV, | |
293 | 0021-22064, AMAT, COVER RING, TTN, 300MM PVD, | |
294 | 0021-35008 Chamber Reflector Plate | |
295 | 0021-35008 PLATE REFLECTOR 200MM XE CHAMBER RTP | |
296 | 0021-35008, AMAT, PLATE, REFLECTOR, 200MM, MOD II, Used | |
297 | 0021-39518, APPLIED MATERIALS, ASP HOOP, 150MM | |
298 | 0021-39570, APPLIED MATERIALS, PLATE TOP BPSG 200MM XE CHAMBER RTP | |
299 | 003-0101 AMAT APPLIED DTVHR4275 C4410-00692 JEL ROBOT CONTROLLER ASIS | |
300 | 003-0101 AMAT APPLIED DTVHR4275 C4410-00751 JEL ROBOT CONTROLLER ASIS | |
301 | 0040-01183,APPLIED MATERIALS, MNFLD,OUTPUT,MIXING BLOCK,DXZ,FLUORINE | |
302 | 0040-02149, APPLIED MATERIALS, HEATER, COMMON 8″ LONG SHAFT ALN – HA12 | |
303 | 0040-02609 LINER, CATHODE, MAGNET, | |
304 | 0040-02926, APPLIED MATERIALS, PLATE,BOT. BPSG REFL,200MM,IMPRVD RING,R | |
305 | 0040-02986, Applied Materials, LASED, PEDESTAL, 300MM DUAL HE, HDPCVD | |
306 | 0040-03390, APPLIED MATERIALS, CAVITY APPLICATOR MCVD UWAVE | |
307 | 0040-03771 LID, PUMPING PLATE | |
308 | 0040-04751, APPLIED MATERIALS, PLATE, COOL DOWN 200MM SWLL | |
309 | 0040-04939, APPLIED MATERIALS, PEDESTAL,COOLDOWN,DPS 200MM | |
310 | 0040-04951 ESC ASSY, 200 MM DUAL ZONE | |
311 | 0040-05294,0041-47100,APPLIED MATERIALS, INPUT MANIFOLD WATER, HP TXZ 200MM CIP, | |
312 | 0040-05400 / FRAME, SOURCE FLOWTHRU FEEDTHRU 300MM PV / AMAT | |
313 | 0040-05943 / ADAPTER, SHORT HI COND 300MM PVD / AMAT | |
314 | 0040-07443 / SWILL BELLOWS INDEXER / APPLIED MATERIALS AMAT | |
315 | 0040-09001 P5000 CVD CHAMBER | |
316 | 0040-09002 CHAMBER BODY, ETCH | |
317 | 0040-09091 GAS BOX 456PL | |
318 | 0040-09094 CHAMBER, 200MM CVD | |
319 | 0040-09094, Applied Materials, CHAMBER 200MM | |
320 | 0040-09136 CVD, CHAMBER | |
321 | 0040-09148 BRACKET,FLOPY | |
322 | 0040-09151 Enclosure Elec. Left w/H | |
323 | 0040-09221 CHAMBER BODY CVD UNIVERSAL | |
324 | 0040-09256, AMAT, Applied Materials, PEDESTAL ,NOTCH OXIDE ETCH, 8, P5000 | |
325 | 0040-09286 CHAMBER 4,5,6 IN. CVD | |
326 | 0040-09957 SHELL ASSY, 200MM, NOTCH, IS, | |
327 | 0040-09962, APPLIED MATERIALS, POLYIMIDE PEDESTAL | |
328 | 004-0101 AMAT APPLIED S0075 S0075 (#1) TAZMO ROBOT CONTROLLER ASIS | |
329 | 004-0102 AMAT APPLIED RP-8000-2M (#1) PEARL KOGYO GENERATOR ASIS | |
330 | 0040-18053/,ESC,TESTED,200MM SNNF BLUE,HDP-CVD/APPLIED MATERIALS AMAT | |
331 | 0040-18101, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD | |
332 | 0040-18125, Applied Materials, AMAT, LASED, PEDESTAL, 125MM SF MIN | |
333 | 0040-18200 LASED, PEDESTAL, 200MM SNNF WTM, HDPCVD(0040-18219) | |
334 | 0040-18219 Applied Materials AMAT LASED, PEDESTAL, 200MM SNNF SML FLT W/WT | |
335 | 004-0201 AMAT APPLIED S0075 S0075 (#2) TAZMO ROBOT CONTROLLER ASIS | |
336 | 004-0201 AMAT APPLIED S0075 S0075 (#3) TAZMO ROBOT CONTROLLER ASIS | |
337 | 004-0201 AMAT APPLIED S0093 S0093 TAZMO ROBOT CONTROLLER ASIS | |
338 | 004-0202 AMAT APPLIED FRM-30A ES2L39-000017-15 DHIHEN MATCH ASIS | |
339 | 004-0202 AMAT APPLIED FTM-80A1 ES2L39-000039-26 DHIHEN MATCH ASIS | |
340 | 0040-20257, APPLIED MATERIALS, HOOP,LIFT 8″ PVD SHUTTER CHAMBER | |
341 | 0040-20614, AMAT, COLLIMATOR FOIL 1: 1X5/8 HEX | |
342 | 0040-20850 ASSY, HEATER, 8″, BELLOWS, HTH | |
343 | 0040-21367, APPLIED MATERIALS, PEDESTAL,UNIVERSAL 200 MM 3 POINT | |
344 | 0040-21821, AMAT, COLLIMATOR SST SHAPED 1.25:1 5/8″ HEX | |
345 | 0040-23168, APPLIED MATERIALS, MANIFOLD, 300MM CHAMBER WATER LINE | |
346 | 0040-23778 / ADAPTER TOP, CLAMPED 300MM / AMAT | |
347 | 0040-31815, Applied Materials, SHELL ASSY,200MM,NOTCH(2),MONO,SOLID, | |
348 | 0040-31911 ASSY, CATHODE BASE | |
349 | 0040-31911 ASSY, CATHODE BASE | |
350 | 0040-32250 LID, Flat, RPS | |
351 | 0040-35433, APPLIED MATERIALS, WLDMT,CHAMBER BOTTOM,RTP | |
352 | 0040-35851, AMAT, PEDESTAL, ESC, 195mm FLAT, DPS | |
353 | 0040-36236, Applied Materials, HEATER, TXZ 1500MM DMF | |
354 | 0040-36425 AMAT SHELL ASSY, 200MM, MIN SHWR DBL NOT, 5 MIC | |
355 | 0040-39619 LID, CLAMP, SLIT VALVE DOOR – | |
356 | 0040-39990 ESC ASSY,200MM,DUAL ZONE,SUPER | |
357 | 0040-44027, APPLIED MATERIALS, PREFLECTOR PLATE, PROBE INJECT, 300MM RAD | |
358 | 0040-48334 AMAT, LASED PEDESTAL EXTENDED ESC, SNNF W WTM | |
359 | 0040-50414 / DOOR, SLIT VALVE, WAFFLE-LESS, 200 EMAX(001-04437-002) /AMAT | |
360 | 0040-61366, Applied Materials, CHAMBER, DI SEAL, METAL ETCH, EDGE GAS | |
361 | 0040-70031 CHAMBER WELDMENT, LOWER, 29 PO | |
362 | 0040-73095, APPLIED MATERIALS, REV-E3 A-1 MACHINE | |
363 | 0040-73095, APPLIED MATERIALS, REV-E3 A-1 MACHINE, USED | |
364 | 0040-80180/ CLAMP RING, UPPER ATMOSPHERIC, 300MM EPI / AMAT | |
365 | 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT | |
366 | 0040-89016, Applied Materials | |
367 | 0040-89295, AMAT, COVER SHIELD LAMP BASE LOWER 32 LAMP | |
368 | 0041-01890 Applied Materials AMAT ELECTRODE DUAL GAS OEM | |
369 | 0041-05779 AMAT Applied Materials CATHODE BASE | |
370 | 0041-24876, AMAT, REFLECTOR, FLAT / 1.38R 32 LAMP | |
371 | 0050-03510, APPLIED MATERIALS, MANIFOLD 5-1 PROCESS FUJIKIN VALVE CXZ | |
372 | 0050-05059, Applied Materials, WELD/MAN,5RA FJKN SL1,SL2,SL3 EXT.(1.10) | |
373 | 0050-06171, Applied Materials, WLDMT 3 FINAL VALVES FUJIKIN ULTIMA HDP | |
374 | 0050-06249, AMAT, WELDMENT MNF 2 FINAL VALVES OFFSET FUJIKIN ULTIMA | |
375 | 0050-07469, APPLIED MATERIALS, LINE, TUNGSTEN MANIFOLD NGP TUNGSTEN | |
376 | 005-0101 AMAT APPLIED AX7670-06 AX7670-06-R ASTRON MKS CONTROLLER ASIS | |
377 | 005-0101 AMAT APPLIED AX7670-16 ASTRON MKS CONTROLLER ASIS | |
378 | 005-0101 AMAT APPLIED AX7690PSK-04 MKS REVOLUTION ASIS | |
379 | 005-0101 AMAT APPLIED NX-HGA-30B ES2L39-000042-12 DHIHEN RF GENERATOR ASIS | |
380 | 005-0101 AMAT APPLIED NX-HGA-30B1 ES2L39-000042-42 DHIHEN RF GENERATOR ASIS | |
381 | 005-0102 AMAT APPLIED FGA-30A2 ES2L39-000006-21 DAIHEN RF GENERATOR ASIS | |
382 | 005-0102 AMAT APPLIED NX-FGA-30C ES2L39-000047-13 DAIHEN RF GENERATOR ASIS | |
383 | 0050-10432, Applied Materials, WLDMNT DUAL VALVE, M/F, NC | |
384 | 0050-10823w, APPLIED MATERIALS, WLDMT, DUAL VALVE, FEM/ FEM, MAN/MAN | |
385 | 005-0201 AMAT APPLIED FI80131 ASTRONEX MKS CONTROLLER ASIS | |
386 | 005-0201 AMAT APPLIED FI80133 ASTRONEX MKS CONTROLLER ASIS | |
387 | 005-0201 AMAT APPLIED PFDUAL-6F36A-1 PLASMART MATCH ASIS | |
388 | 005-0201 AMAT APPLIED PFDUAL-6F36A-8 PLASMART MATCH ASIS | |
389 | 005-0202 AMAT APPLIED PFDAUL-6B36A PLASMART PATH FINDER MATCH ASIS | |
390 | 005-0202 AMAT APPLIED PFDUAL-6B36A PLASMART PATH FINDER MATCH ASIS | |
391 | 0050-34790, Applied Materials, LINE, 1/4″ MANIFOLD 4-PORT SILICON/WF6 | |
392 | 0050-37178, APPLIED MATERIALS, LINE MAIN SIH4/DCS | |
393 | 006-0101 AMAT APPLIED JFK85TH-TC6 ES2L39-000048-64 KYOSAN RF GENERATOR ASIS | |
394 | 006-0101 AMAT APPLIED RTGA-30A1 ES2L39-000009-21 DAIHEN RF GENERATOR ASIS | |
395 | 006-0102 AMAT APPLIED NPG-15KM NPP MF GENERATOR ASIS | |
396 | 006-0201 AMAT APPLIED B-5303-0 B-5303 MKS GENERATOR ASIS | |
397 | 006-0201 AMAT APPLIED GEW-3540-04 GEW-3540 MKS GENERATOR ASIS | |
398 | 006-0202 AMAT APPLIED 2L39-000021-12 HPK06ZI-TE7-SINGLE KYOSAN GENERATOR ASIS | |
399 | 006-0202 AMAT APPLIED 2L39-000021-21 HPK06ZI-TE7-P KYOSAN GENERATOR ASIS | |
400 | 006-0202 AMAT APPLIED MW2-500340-01 MW2-500340 MKS MATCH ASIS | |
401 | 007-0201 AMAT APPLIED RP-3000-100MK-PS (#2) PEARL KOGYO GENERATOR ASIS | |
402 | 007-0201 AMAT APPLIED RP-8000-2M (#2) PEARL KOGYO GENERATOR ASIS | |
403 | 007-0202 AMAT APPLIED CPW2870B15 27-290306-00 CIP GENERATOR ASIS | |
404 | 007-0202 AMAT APPLIED NPG-10KM NPP RF GENERATOR ASIS | |
405 | 007-0202 AMAT APPLIED PFDUAL-6936A-2 PLASMART PATH FINDER MATCH ASIS | |
406 | 007-0202 AMAT APPLIED WGA-30A DAIHEN GENERATOR ASIS | |
407 | 007-0202 AMAT APPLIED WGA-30A2 DAIHEN GENERATOR ASIS | |
408 | 008-0101 AMAT APPLIED RP-3000-100MK-PS (#1) PEARL KOGYO GENERATOR ASIS | |
409 | 0090-00330, AMAT, ASSEMBLY, ELECTRICAL, PVD AL/TTN INTER | |
410 | 0090-00398, Applied Materials, ASSY., ELECTRICAL, HDPCVD 300MM, INTERLO | |
411 | 0090-00958, APPLIED MATERIALS, LAMPL ASSY, WM-502-B WITH LEDs | |
412 | 0090-04471 / CONTROLLER RTC CPCI CENTURA AP W/CCM BLOCK 1 REV 001 / AMAT | |
413 | 0090-09125 AC Window, Assy. Quartz Centered AMAT Etch | |
414 | 0090-09262 ASSEMBLY, MAGNET DRIVER, | |
415 | 0090-20210, APPLIED MATERIALS, ASSY STATUS LAMP LED WM RED YEL GRN | |
416 | 0090-20415, Applied Materials, ASSY., ELECTRICAL,PLATING CELL INTERLOCK | |
417 | 0090-35159, Applied Materials, AMAT, ESC ASSY FLAT(JEIDA), 145MM R2 | |
418 | 0090-36276 ASSY., REACTOR, DPA | |
419 | 0090-36276 ASSY., REACTOR, DPA (Refurbish | |
420 | 0090-36296 VALVE ASSY, THROTTLE, DUA | |
421 | 0090-36399, Applied Materials, AMAT, SHELL ASSY, 195MM SEMI NOTCH | |
422 | 0090-75015 Applied Materials AMAT Endura Centura V440 SBC SYNERGY 68040 | |
423 | 0090-76102, Applied Materials, VALVE ASSY, N/C, AUTO SHUT-OFF, HTESC | |
424 | 0090-76109 Applied Materials AMAT Endura Centura V440 SBC SYNERGY 68040 | |
425 | 0090-76133 / ASSY,ELECT,SBC SYNERGY V452 68040 CPU** W / EXCHANGE** / AMAT | |
426 | 0090-76133 0090-03467 Applied Materials AMAT Endura SYNERGY V452 SBC 68040 CPU W | |
427 | 0090-76133 Applied Materials AMAT SBC BOARD V452 | |
428 | 0090-77000, AMAT, ASSY SENSOR VAC PT-613 PM1 | |
429 | 0090-77014, APPLIED MATERIALS, ASSY MOTOR Z-AXIS PM 1/2 | |
430 | 0100-00003 VME STEPPER CONTROLLER I | |
431 | 0100-00008 PCB ASSEMBLY T.C. GAUGE MONITO | |
432 | 0100-00008 PCB ASSY, TC GAUGE | |
433 | 0100-00162 EXPANDED I/O DIS BOARD A | |
434 | 0100-00308, Applied Materials, PCB ASSEMBLY, INCOMPATIBLE GAS INTERLOCK | |
435 | 0100-00316, AMAT, PCB ASSEMBLY, MOTOR DRIVER DISTRUBUTION | |
436 | 0100-00396 / PCB, ANALOG I/O ASSY/ AMAT | |
437 | 0100-00438, Applied Materials, PCB ASSEMBLY,CHMBR INTLK PERSONALITY,HDP | |
438 | 0100-00558, AMAT, PCB ASSEMBLY, DRIVERS CONTROL INTERFACE | |
439 | 0100-00573, AMAT, PCB ASSY, PRECLEAN PERSONALITY BD, 300MM | |
440 | 0100-00610, APPLIED MATERIALS, PCB ASSEMBLY, INTERLOCK SELECT, ENDURA 3 | |
441 | 0100-00732, Applied Materials, PCB ASSY SYSTEM AC DISTRIBUTION BACKPLAN | |
442 | 0100-00798, APPLIED MATERIALS, IMPROVE IOX PCBA FBR 200MM | |
443 | 0100-01018, Applied Materials, REM RACK CONTROL | |
444 | 0100-01132, Applied Materials, PCB ASSEMBLY, CHAMBER LIFT RF FILTER | |
445 | 0100-01312, APPLIED MATERIALS, PCB ASSEMBLY, CHAMBER I/O DISTRIBUTION, | |
446 | 0100-01985 / ASSY EWOB AND OTF CENTERFINDER PCB/ APPLIED MATERIALS AMAT | |
447 | 0100-09002, Applied Materials, PCB ASY VME SBC | |
448 | 0100-09011 PCB ASSY AI MUX | |
449 | 0100-09022, Applied Materials, PCB ASSY, MINI AI/AO | |
450 | 0100-09026, AMAT, PCB ASSY, SYSTEM WIRING DISTRIBUTION | |
451 | 0100-09054 PCB ASSY, ANALOG INPUT, | |
452 | 0100-09054PCB ASSY, ANALOG INPUT, | |
453 | 0100-09071 PCB ASSY SBC I/O BREAKOUT | |
454 | 0100-09076 PCB ASSEMBLY BRAKE INTERCONNEC | |
455 | 0100-09106 PCB ASSEMBLY,EXPANDED GAS PANE | |
456 | 0100-09115 Assy PCB Gas Panel Analo | |
457 | 0100-09124, APPLIED MATERIALS, PWBA 4 PHASE STEP CONTROL | |
458 | 0100-09134 PCB ASSY DIDO FUSE | |
459 | 0100-09169 EXPANDED RS-232 EXPANDED | |
460 | 0100-09172, Applied Materials, ASSY 8 CHANNEL EMISSION LASER EDPT BD | |
461 | 0100-09201, Applied Materials, CONTROL MODULE PCB ASSY TEOS TEMP CONT | |
462 | 0100-09254 ENDPOINT SELECT BOARD | |
463 | 0100-09319 PCB ASSY, CENTERFINDER, FIBER | |
464 | 0100-11000 Analogo,Input | |
465 | 0100-16014, Applied Materials, ASSY,PCB VIDEO/SERIAL FOR 3 MONITORS, CE | |
466 | 0100-20066 / ANALOG SYNC DETECT PCB ASSEMBLY / APPLIED MATERIALS AMAT | |
467 | 0100-20183 PCB ASSY. SERIAL/VIDEO CONNT | |
468 | 0100-20241, Applied Materials, PCB ASSY STEPPER DRIVER INTERFACE-MOD, With Cable | |
469 | 0100-20327, Applied Materials, PCB ASSY. WAFER DETECT 1 | |
470 | 0100-35073 ROTATION DRIVE | |
471 | 0100-35227 PCB Assy, E-Chuck Controller | |
472 | 0100-35337 AMAT Applied Materials Centura P-5000 GPLIS PCB Spill Sensor | |
473 | 0100-38039, Applied Materials, PCB,INTERLOCK,ICP | |
474 | 0100-40021, AMAT, PCBA,MAINFRAME BACKPLANE | |
475 | 0100-76004, 0100-01985, Applied Materials, PCB ASSY, CENTERFINDER CONTROL | |
476 | 0100-76085, AMAT, PCB, ASSY SYSTEM ELECTR BAKPLANE | |
477 | 0100-76110, Applied Materials, AMAT, ASSY, SYSTEM AC INTERLOCK DISTRIBUTION | |
478 | 0100-76113 AMAT PCB ASSY, INTERLOCK SELECT 300 GEN RACK | |
479 | 0100-76119, Applied Materials, PCB ASSY, POWER SUPPLY | |
480 | 0100-76135, 0090-76171, Applied Materials, MAIN FRAME INTERFACE | |
481 | 0140-00474, AMAT, HARNESS REV E1 | |
482 | 0140-10095 HARNESS ASSY, SENSOR INTERCONN | |
483 | 0140-10260, AMAT, HARNESS ASSY,COMMON CHAMBER,RTP | |
484 | 0140-21408, AMAT, HARNESS ASSY PVD W/B CH INTERCONN | |
485 | 0140-76822 HRNS ASSY LOADER BOARD P5000 | |
486 | 0150-01412, AMAT, CABLE ASSY, 2 MHZ COAXIAL, 75 FT, REACTI | |
487 | 0150-13081, AMAT, CABLE ASSY, COAX, 50FT | |
488 | 0150-20469, AMAT, CABLE ASSY,COAXIAL 13.56 MHZ | |
489 | 0150-21970, AMAT, CABLE ASSY, 100FT DC SOURCE CEM-96 | |
490 | 0150-35205, AMAT, HARNESS ASSY MAINFRAME UMBILICAL CMJ1 | |
491 | 0150-35207, AMAT, HARNESS ASSY MAINFRAME UMBILICAL CMJ3 | |
492 | 0150-35208, AMAT, HARNESS ASSY LOAD LOCK UMBILICAL | |
493 | 0150-35210, AMAT, HARNESS ASSY CHAMBR A-B- C-D | |
494 | 0150-35567, AMAT, C/A MAIN FRAME UMBILICAL #1, 55 FT | |
495 | 0150-35568, AMAT, C/A MAIN FRAME UMBILICAL #2, 55 FT | |
496 | 0150-35569, AMAT, C/A MAIN FRAME UMBILICAL #3, 55 FT | |
497 | 0150-35570, AMAT, C/A LOAD LOCK UMBILICAL, 55 FT | |
498 | 0150-35571, AMAT, C/A PNEUMATIC’S UMBILICAL, 55 FT | |
499 | 0150-36952, AMAT, APPLIED MATERIALS COMPONENT-CABLE ASSY | |
500 | 0150-75205, AMAT, EMC COMP,CABLE ASSY CHAM UMBIL,A,B,C,D 4 | |
501 | 0150-76205, AMAT, CABLE ASSY, GAS PANEL #2 UMBI | |
502 | 0150-76206, AMAT, EMC COMP., CABLE ASSY, CHMBR UMILICAL | |
503 | 0150-76211, AMAT, CABLE ASSY,PNEUMATICS UMBILIC | |
504 | 0150-97563, AMAT, CABLE ASSY, CHAMBER HEATER 1, SACVD, PRO | |
505 | 01821-13755 P5000 SITE TOOLING KIT | |
506 | 0190-00209 / RF MATCH, ADVANCED ENERGY, AZX90/ AMAT | |
507 | 0190-00318 / VGA VIDEO CONTROLLER ASSY, AMAT 486, 60-0149-03, AMAT-DX33 / AMAT | |
508 | 0190-00318/ VGA VIDEO CONTROLLER ASSY, AMAT | |
509 | 0190-01543, Applied Materials, INTERLOCK GAS PANEL MODULE POLY-300MM | |
510 | 0190-01898 / MODULAR DNET IO CONTROLLER / APPLIED MATERIALS AMAT | |
511 | 0190-01947 AMAT Applied Material CENTURA ETCH DPS SPECIFICATION 254NM LIGHT SOUC | |
512 | 0190-02364, Applied Materials, BOARD ASSY LOAD LOCK INTERFACE | |
513 | 0190-05111 / VIDEO AND CONTROL SWITCH SPECIFICATION /APPLIED MATERIALS AMAT | |
514 | 0190-05395 / TARGET,DIFFUSION BONDED,200MM SIP TA(4N5) 12.279″(0.250″T) / AMAT | |
515 | 0190-06120 FLOWMETER,TURCK RTN,8A-PR8-EPR | |
516 | 0190-07285, Applied Materials, MATCH, AUTO MATCH NETWORK, 13.56MHZ,3KW | |
517 | 0190-07959,DC21M-Z141300110A / PWRSP DC 10KW 480VAC ENI LOW-Z DNET N/ AMAT | |
518 | 0190-07964 ,DC24M-Z141300110A / PWRSP 40KW 480VAC ENI MASTER(20KW) DNET/ AMAT | |
519 | 0190-08677 Applied Materials AMAT RF Generator PDX 900-2V | |
520 | 0190-09263 PUMPING PLATE PLENUM 200MM PE | |
521 | 0190-09291, APPLIED MATERIALS, RING, WAFER LIFT, REV 1 CERAMIC, 200 MM | |
522 | 0190-09292, APPLIED MATERIALS, RING,WLIFT,REV 1 CERAMIC 150 MM UNIV CHA | |
523 | 0190-09396 MFC,750 SCCM VERTICAL,MTR. | |
524 | 0190-09399 SUSC ASSY T2 WELDED, 150 MM | |
525 | 0190-09445 ASSY ENDPOINT DETECTOR C | |
526 | 0190-09454 Assy DCVD EndPoint Detec | |
527 | 0190-09471 ASSY., DCVD ENDPOINT DET | |
528 | 0190-09472 ASSY, DCVD,ENDPOINT DETECTOR | |
529 | 0190-09663 ACTUATOR, SLIT VALVE SEAL ZA | |
530 | 0190-09687 Applied Material AMAT Endura Centura STEPPER CONTROLLER VME 44-4E | |
531 | 0190-09843 /RF GEN, 13.56MHZ, 1.25KW, 480VAC, COMDEL / APPLIED MATERIALS AMAT | |
532 | 0190-11595 / DC PWR SUPPLY, 40KW, 480VAC, ENI OPTIMA / AMAT | |
533 | 0190-16633 / 2 CHANNEL MAGNET DRIVER KOLLMORGEN MAG02-25021-001 / AMAT | |
534 | 0190-17815R / CHILLER ATS NX-20A-GL DUAL CHANNEL/ APPLIED MATERIALS AMAT | |
535 | 0190-18146, Applied Materials, ETO RF GEN 13.56 MHZ SSD DRIVER,ULTIMA | |
536 | 0190-20048 wVME SCB Synergy BD Pac | |
537 | 0190-20048 wVME SCB Synergy BD Pack | |
538 | 0190-20048 wVME SCB Synergy BD Package As | |
539 | 0190-22250W | Amat Kensington 4-Axis Robot | |
540 | 0190-22545 / USB TO RS-232 SERIAL SERVER / AMAT | |
541 | 0190-23671, APPLIED MATERIALS, DC PWR SUPPLY, 20KW, 480VAC, 200VDC ARC TRIP LEVE | |
542 | 0190-24854 /MKS REV1.8 G4 PLUS 4-PORT UPA 300MM REFL /APPLIED MATERIALS | |
543 | 0190-25529 , GHW-12Z-13DF2NH-001 / GHW-12Z 1250 WATTS 13.56MHZ / AMAT | |
544 | 0190-25581 Applied Materials AMAT (253B-21977) ,T/T VALVE | |
545 | 0190-2838,3155166-013 / NAVIGATOR RF MATCH ASSY / AMAT | |
546 | 0190–28649 / EPSILON AC FIB SOURCE GENERATOR POWER CABLE REV 01 / AMAT | |
547 | 0190-28658 / VERITY CONTROLLER, MODEL SD1024F-2-S. PART NO. 1007467 / AMAT | |
548 | 0190-29042 VALVE, BALL NC PNEU KF50 WITH POSI SWITC | |
549 | 0190-29389 / ADVANCED ENERGY POWER SUPPLY 3150861-010 E / APPLIED MATERIALS AMAT | |
550 | 0190-29389, 3150861-010E / ADVANCED ENERGY POWER SUPPLY VHF OVATION 35162 / AMAT | |
551 | 0190-29586 / GENERATOR RF PLASMA ENI 2 MHZ 9KW MKS/ APPLIED MATERIALS AMAT | |
552 | 0190-29997 /3155132-005 NAVIGATOR 3013 RF MATCH / APPLIED MATERIALS AMAT | |
553 | 0190-34492 / COMET AUTOMATCH P/N 20031426 13.66MHZ / APPLIED MATERIALS AMAT | |
554 | 0190-35236 / LUXTRON M-100 MODEL 100C OPTICAL FIBER TEMP CONTROL SYSTEM /AMAT | |
555 | 0190-35510 MCVD Endpoint Assy | |
556 | 0190-35630, AMAT, CABLE ASSY,BIAS GEN TO MATCH,QDS-QDS,98 | |
557 | 0190-35765, AMAT, Applied Materials, SERIPLEX MUX I/O PCB | |
558 | 0190-36237, APPLIED MATERIALS, VALVE, INJ. 208V TEPO/TEOS 150C STEC 2410A | |
559 | 0190-40045, Applied Materials, CARD,CONTRL 8MB CPU | |
560 | 0190-40064, AMAT, CABLE ASSY, SOURCE GENERATOR TO RF MATCH | |
561 | 0190-48780 / CRYO TIGER COMPRESSOR T1102-01-290-1 / APPLIED MATERIALS AMAT | |
562 | 0190-70080 ENI OEM-12B (OBS – No replacem | |
563 | 0190-70085, 2280-000-D/ PWRSP, DC 6KW STAND MDX-L6 / AMAT | |
564 | 0190-70099 / PWRSP RF 13.56 MHZ 1000W 50/60 HZ 3-PH COMDEL / AMAT | |
565 | 0190-76167 ASSY,PCB 60E.W PNEUMATIC MANIF | |
566 | 0190-A1844B /UVISION 5 DOVER MODEL DMM2310 POWER SUPPLY /APPLIED MATERIALS AMAT | |
567 | 0200-00071, AMAT, INSULATING PIPE,QUARTZ, 200MM,EXT, , | |
568 | 0200-00822, Applied Materials, DOME QUARTZ,POLY DPS | |
569 | 0200-00950, Applied Materials, AMAT, COLLAR LOW PROFILE 150MM SMF | |
570 | 0200-01006, AMAT, Applied Materials DOME 14 ID ASTRON TOP ULTIMA 1.5, | |
571 | 0200-01080, Applied Materials, DEP RING, PVD PROCESS, 300MM ESC | |
572 | 0200-01353, APPLIED MATERIALS, 150MM CERAMIC HEATER | |
573 | 0200-01622 PLATE, CVR, 8″ HTR, DXZ, | |
574 | 0200-01676, Applied Materials, PLATE, FINGER LIFT, PRODUCER SE | |
575 | 0200-09179, AMAT, Applied Materials, INSULATING PIPE,QTZ, | |
576 | 0200-09320, Applied Materials, RING OUTER 200MM SHADOW RING | |
577 | 0200-09576 CERAMIC LIFT HOOP | |
578 | 0200-09706, Applied Materials, AMAT, RING CENTERING 200M 194MM NOTCH | |
579 | 0200-09763, AMAT, RING,CLAMPING,NOTCH,AL, 200MM,1.11 HT,FI | |
580 | 0200-09830 RING, PEDESTAL, ESC, 150MM, 1F | |
581 | 0200-09997 AMAT, RING, OUTER, ALN 200 NOTCH SML WxZ | |
582 | 0200-10157 LINER, JUCTION, SIN, DXZ | |
583 | 0200-10164 Pumping Insert | |
584 | 0200-10246, AMAT, UNI-INSERT,GDP,LINER,88 HOLD, QTZ | |
585 | 0200-10377, APPLIED MATERIALS, RING SINGLE 195MM SEMI NT CER DPS CHMB | |
586 | 0200-10416MP, AMAT P5000, COLLAR,NOTCH, LOW PROFILE, BARRIER | |
587 | 0200-10664 AMAT DOME, DOS, NON-FLAME POLISHED | |
588 | 0200-20374 (or 0200-00937) AMAT, COVER,8″ PEDESTAL,B101 HTR,CERAMIC,AL AR | |
589 | 0200-35017, AMAT, CHAMBER LINER, QTZ UPPER RP EPI | |
590 | 0200-35023, AMAT, CHAMBER LINER, QTZ, LOWER RP | |
591 | 0200-35065, AMAT, CHAMBER LINER,QTZ, LOWER | |
592 | 0200-35108, AMAT, SUSC PROFILE 150 MM EPI R3 ROTATION | |
593 | 0200-35182, AMAT, SUSC. TOS R3 ROTATION 125MM,EPI | |
594 | 0200-35183, AMAT, SUSC. TOS R3 ROTATION 150MM, EPI | |
595 | 0200-35235, AMAT, SUSCEPTOR, SGL, R3 ROT., EPI, 200MM | |
596 | 0200-35322, APPLIED MATERIALS, RING, SINGLE, ESC,197.36 NOTCH,DPS CHMBR | |
597 | 0200-35358, AMAT, SUSCEPTOR, XYC R3 ROTATION, 150MM, EP | |
598 | 0200-35511, AMAT, SUSC,TOS,R3 ROTATION,200MM,EPI | |
599 | 0200-35561, AMAT, COVER, 200MM, E-CHUCK, REDESIGNED | |
600 | 0200-35595, AMAT, LINER,LID,TxZ | |
601 | 0200-35692, BLADE, 200MM QUARTZ, EHP-CR ROBOT | |
602 | 0200-35706 AMAT Ceramic DxZ Liner | |
603 | 0200-35706 LINER, CERAMIC, SIN DXZ | |
604 | 0200-35760, AMAT, RING,FOCUS,195MM,NOTCH,ZERO HT,SIMCAT | |
605 | 0200-35785 AMAT RING,CERAMIC WAFER LIFT,100,125 & 150MM | |
606 | 0200-36064, AMAT, PLATE EDGE COVER KYOCERA | |
607 | 0200-36114, APPLIED MATERIALS, CYLINDER ENHANCED WAFER SUPPORT RTP | |
608 | 0200-36541, AMAT, LID LINER, TI-XZ 200MM | |
609 | 0200-36629 PLATE, COVER 6″ HEATER, | |
610 | 0200-36631 PATTERNED COVERPLATE | |
611 | 0200-36631 PLATE COVER, 8″ HEATER, DXZ, P | |
612 | 0200-39132 AMAT Plate, Cover 8″, Heater DxZ, Patterned | |
613 | 0200-39140, AMAT, Applied Materials, RING FOCUS 150MM SNNF | |
614 | 0200-39295 / DPS DOME 300MM / APPLIED MATERIALS AMAT | |
615 | 0200-89012, AMAT, SUSCEPTOR, TEMPERATURE CALIBRATION | |
616 | 0225-95381, AMAT, BLADE 200MM BUFFER EWOB CERAMIC | |
617 | 0226-09996 Loader Interconn,BD | |
618 | 0227-39399, AMAT, STEC, MFC 4400 200sccm CO 1/4VCR MTL NC 9P | |
619 | 0240-04238 retrofit kit p/s vme | |
620 | 0240-09772 ENI OEM-12AM/12A RF Plas | |
621 | 0240-21734, 0020-21196, 0020-22237 AMAT, 8″ AL/MATL 101 PEDESTAL/ COVER RING KIT | |
622 | 0240-31575 KIT HEATING GATE VALVE | |
623 | 0240-33231,854373-006 /6” SUSCEPTOR CALIBRATION KIT/AMAT | |
624 | 0240-34129 End P30 Software Kit, 3 | |
625 | 0240-37391, APPLIED MATERIALS, KIT PM/REBUILD R3 ROTATION | |
626 | 0240-75065 15 SLOT ELEVATOR W 150MM TRAYS | |
627 | 0240-76513 KIT, H-SLIT VALVE, P5000, REMO | |
628 | 0240-76975 KIT, 8-SOT WPS COMMON | |
629 | 0242-00565 AMAT Kit, Slit Liner Door, Clamp Lid, Viton/C | |
630 | 0242-01795, 0010-13758/ SPARES, 8″ TRANSFER CVD COMPAT.BLADEW/CONTAINER/AMAT | |
631 | 0242-09588 AMAT Etch, Kit, Magnet Driver, CMF | |
632 | 0242-09589 / KIT, MXP+/EMXP+ SWAP,CLAMP LID, 5200 / APPLIED MATERIALS AMAT | |
633 | 0242-12663 / FI SERVICE LIFT KIT / APPLIED MATERIALS AMAT | |
634 | 0242-25977, AMAT, KIT, CHMBR SHIP ALONG, 300MM VANTAGE QS, 0040-43456,3691-01359 | |
635 | 0242-36768 KIT, HINGE, OVAL SHAFT (DxZ) | |
636 | 0242-37680 THROTTLE/ISOLATION VALVE | |
637 | 0242-38327 THROTTLE VALVE KIT, DUAL SPR D | |
638 | 0242-70219 P5K ROBOT 4″- 6″ Refurb | |
639 | 0242-76660 KIT HP/PNEUMATIC 101 LIFT | |
640 | 0270-09044 Fixture, Shoe Adjust, 8″ | |
641 | 0270-09045 FIXTURE, SHOE ADJUST, 4-INCH ( | |
642 | 0270-35025, AMAT, FIXTURE,ALIGNMENT,WAFER LIFT,.75PAD,R3 | |
643 | 0290-20094 VECTRA IMP CHAMBER, B101, AMAT ENDURA “REFURBISHED” | |
644 | 0290-35871 WXP CLAMP HEWEB CONFIGURABLE C | |
645 | 0500-01026 0660-01548 Applied Materials AMAT Granville-Phillips 344 Controller | |
646 | 0500-01047 Endpoint Detecto, TEOS | |
647 | 0500-A0181 / OPHIR POWER AMPLIFIER / APPLIED MATERIALS AMAT | |
648 | 0520-00037 (or 0520-00068) ACTR PNEU DBL LOCK 37X435 OPEN ANODIZED, SLIT VAL, 07 | |
649 | 0620-01756 / CABLE ASSY FIBER OPTIC 1500MICRON 20M-LG / APPLIED MATERIALS AMAT | |
650 | 0620-02694, AMAT, CABLE ASSY COAX RG-217/U 100FT R/A QDS-UL(M)/C(M) | |
651 | 0630-01635, AMAT, CAP VAR 8KV 8-650PF VACUUM CERAMIC | |
652 | 0660-00245 AMAT, CARD CPU P200+VGA+64MB JUMPERED (FRONT E | |
653 | 0660-01743 / CARDGMSV46 SINGLE BOARD COMPUTER/ AMAT | |
654 | 0660-01847 AMAT CARD PENTIUM 133MHZ 32MB RAM VME BUS DO | |
655 | 0730-01026 CONV FREQ MAG LEV TURBO | |
656 | 0730-01035 CNTRL TURBP PUMP SEIKO S | |
657 | 0730-01035 CNTRL TURBP PUMP SEIKO SEIKI S | |
658 | 0730-01046 / TURBO TRONIK CONV FREQ NT341 MC/MCT 208V 50/60HZ / AMAT | |
659 | 0730-01046 CONV FREQ NT341 MC/MCT 208V 50 | |
660 | 0920-00013 Genratr, FLUORINE,208VAC,W/E | |
661 | 0920-00013, AMAT, ASTEX FI20620-1, GEN FLOURINE 208VAC 3PH WITH ENHANCED AR | |
662 | 0920-00013, MKS PN FI20620-1, AMAT, GEN FLUORINE 208VAC 3PHASE W/ENHANCE | |
663 | 0920-01124, AMAT, GEN FLUORINE 208VAC 3PHASE W/ ARGON IGNITION | |
664 | 101-0101 AMAT APPLIED 0090-09026 (#1) (BROKEN) OEM-12A-21041-51 ASIS | |
665 | 101-0201 AMAT APPLIED 0090-09026 (#2) w RF GEN 800W OEM-12A-21041-51 ASIS | |
666 | 101-0301 AMAT APPLIED ETM210 USED | |
667 | 102-0101 ENI OEM-6AM-1 POWER SYSTEMS USED | |
668 | 102-0101 ENI OEM-6AM-1B-21251 POWER SYSTEMS USED | |
669 | 102-0201 AMAT APPLIED 0190-08067 AE PINNACLE 3152412-223C USED | |
670 | 102-0301 AMAT APPLIED 0190-05934 ADVANCED 3152412-218C GENERATOR USED | |
671 | 102-0301 AMAT APPLIED SCU-H200C (BROKEN) PUMP CONTROL UNIT STP-H200C USED | |
672 | 102-0401 AMAT APPLIED 3156024-105B (#1) ADVANCED PDX500 GENERATOR USED | |
673 | 102-0401 AMAT APPLIED 3156024-105B (#2) ADVANCED PDX500 GENERATOR USED | |
674 | 102-0501 AMAT APPLIED 3156024-105C ADVANCED PDX500 GENERATOR USED | |
675 | 102-0501 AMAT APPLIED TURBO-TRAP ENDURA TURBO-TRAP INTERFACE USED | |
676 | 103-0101 AMAT APPLIED 0227-06385 ISOLATION TRANSFORMER W/TB, 20 USED | |
677 | 103-0101 COMDEL CPS-1001/60 POWER SOURCE ASIS | |
678 | 103-0201 AMAT APPLIED 1140-01086 wPWRSP DC 10KW SLAVE MAG 2012-043-Z USED | |
679 | 103-0201 AMAT APPLIED 1140-01088 PWRSP DC 20KW MASTER ONLY 20K 2223-003-Y USED | |
680 | 103-0401 AMAT APPLIED 3152012-041AB ADVANCED MASTER USED | |
681 | 103-0401 AMAT APPLIED RACK-360AW ICP INDUSTRIAL COMPUTER USED | |
682 | 104-0101 AMAT APPLIED 0010-22930 FACILITY PLATE, POSITION 2, WI | |
683 | 104-0101 AMAT APPLIED 0040-62676 GENERATOR RACK, LOW FERQUENCY, PRODUCER | |
684 | 104-0101 AMAT APPLIED 0090-02846 OIL, INNER, 300MM, ENABLER | |
685 | 104-0201 AMAT APPLIED 0090-02847 COIL OUTER 300MM ENABLER | |
686 | 104-0201 AMAT APPLIED 3870-02693 PNEU ACTR CONFLA 10846-XE28-AAX2/0796 | |
687 | 104-0301 AMAT APPLIED 3620-01100 CRYOTORR VACUUM CONFLAT 8039485 USED | |
688 | 104-0401 AMAT APPLIED 0090-00908 ASSY, SYSTEM INTERLOCK 200MM ENDURA SL | |
689 | 104-0401 AMAT APPLIED 0270-09285 (BUTTON BROKEN) 0500-01036 0010-10776 | |
690 | 105-0101 AMAT APPLIED 0010-13495 ASSY PRESSURE CONTROL PRODUCER ETCH | |
691 | 105-0101 AMAT APPLIED 0040-53725 APPLIED MATRIALS COMPONENTS | |
692 | 105-0101 AMAT APPLIED 0040-76895 0010-19913 APPLIED MATRIALS COMPONENTS USED | |
693 | 105-0201 AMAT APPLIED 0190-76022 (UNCLEAN) CTI-CRYOGENICS 8116032G001 ASIS | |
694 | 105-0201 AMAT APPLIED 0190-76022 CTI-CRYOGENICS CRYOPUMP 8116032G001 ASIS | |
695 | 105-0301 AMAT APPLIED 0200-00541 LINER, QUARTZ, 200MM PRE-CLEAN | |
696 | 105-0401 AMAT APPLIED 0190-06874 APPLIED MATRIALS COMPONENTS | |
697 | 105-0401 AMAT APPLIED 3760-00127 SLIDE RAIL TYPE KR30, 428MM STRK, 6MM LE | |
698 | 106-0101 AMAT APPLIED 0010-03488 MAGNET ASSEMBLY USED | |
699 | 106-0201 AMAT APPLIED 0010-04941 300MM SIP MAGNET ASSEMBLY USED | |
700 | 106-0301 AMAT APPLIED 0010-03361 MCA+ HTR ASSY, MCA’D JMF 8″ ASIS | |
701 | 106-0301 AMAT APPLIED 0010-21530 ASSEMBLY, NON-NTM ADVANCED 101 – 6″ USED | |
702 | 106-0301 AMAT APPLIED 0010-76149 APPLIED MATRIALS COMPONENTS USED | |
703 | 106-0401 AMAT APPLIED 0010-30421 ASSY HEATER 8″ JHT .029 W/VITO USED | |
704 | 106-0501 AMAT APPLIED 0010-30690 HEATER ASSEMBLY 8″ SR AXZ USED | |
705 | 106-0501 AMAT APPLIED 0010-36475 ASSY,HEATER TXZ,200MM,JMF USED | |
706 | 106-0501 AMAT APPLIED 0190-21443 (BROKEN) APPLIED MATERIALS COMPONENTS ASIS | |
707 | 107-0101 AMAT APPLIED 0010-25154 (#1) (WITH COVER) HEATER USED | |
708 | 107-0101 AMAT APPLIED 0010-25154 (#2) (WITHOUT COVER) HEATER ASIS | |
709 | 107-0101 AMAT APPLIED 0090-02139 APPLIED MATRIALS COMPONENTS ASIS | |
710 | 107-0201 AMAT 0010-26043 PAD CONDITIONER ASSY, LK POLISHER, CMP 3 [ ] | |
711 | 107-0201 AMAT APPLIED 0010-70131 8″ WAFER ORIENTER USED | |
712 | 107-0201 AMAT APPLIED 0020-21881 CHAMBER ORIENTER/DEGAS USED | |
713 | 107-0201 AMAT APPLIED 0020-76180 APPLIED MATRIALS COMPONENTS USED | |
714 | 107-0201 AMAT APPLIED 0040-09557 CHAMBER 5000 ASP BODY, ASP USED | |
715 | 107-0301 AMAT APPLIED 0040-09136 0020-10187 CHAMBER 200MM BW USED | |
716 | 1080-01267,AMAT,Applied Materials, DRVR SERVO DIGITAL INDEXED 2KW 100-240VAC | |
717 | 108-0101 AMAT APPLIED 3870-00331 VALVE GATE PENDULUM HEATED 200 USED | |
718 | 108-0201 AMAT APPLIED 0010-10106 VDS FACILITY COMPONENTS INTERFACE UNIT USED | |
719 | 108-0301 AMAT APPLIED 0010-20819 APPLIED MATRIALS COMPONENTS USED | |
720 | 108-0401 AMAT APPLIED 0010-21403 (#1) APPLIED MATRIALS COMPONENTS USED | |
721 | 108-0401 AMAT APPLIED 0010-21403 (#2) (UNCLEAN) COMPONENTS ASIS | |
722 | 108-0501 AMAT APPLIED 0010-20223 (#3) (CLEAN) wMAGNET REM 11.3″TIN ASY USED | |
723 | 108-0501 AMAT APPLIED 0010-20223 (#4) wMAGNET REM 11.3″TIN ASY USED | |
724 | 108-0601 AMAT APPLIED 0010-20223 (#1) (UNCLEAN) wMAGNET REM 11.3″TIN ASY ASIS | |
725 | 108-0701 AMAT APPLIED 0010-20768 (#1) (UNCLEAN) COMPONENTS ASIS | |
726 | 108-0701 AMAT APPLIED 0010-20768 (#2) APPLIED MATRIALS COMPONENTS USED | |
727 | 109-0301 AMAT APPLIED RF-10 SE003 RF PLASMA CONTROLLER USED | |
728 | 109-0401 AMAT APPLIED 0190-34650-000 3152411-233 PINNACLE-20K NOT WORKING | |
729 | 109-0501 AMAT APPLIED 0190-34650-000 3152411-233 PINNACLE-20K ADVANCED ASIS | |
730 | 109-0601 AMAT APPLIED 0010-06788 0040-33215 ESC, ASSY, 300MM DPS2 ASIS | |
731 | 109-0701 AMAT APPLIED 0040-48594 ESC, TESTED, 300MM DUAL HE, HD ASIS | |
732 | 11 AMAT 0020-25077 shields, lower, 8 inch, 101 G12 SST PA | |
733 | 110-0101 AMAT APPLIED 3156117-206 APEX-10013 AE GENERATOR ASIS | |
734 | 110-0101 AMAT APPLIED STP-H1000L SCU-H1000L SEIKO SEIKI STP CONTROL UNIT USED | |
735 | 110-0201 AMAT APPLIED 3152412-115D ADVANCED PINNACLE USED | |
736 | 110-0201 AMAT APPLIED 3152436-102V ADVANCED PINNACLE USED | |
737 | 110-0301 AMAT APPLIED 3152436-355G ADVANCED PINNACLE USED | |
738 | 110-0401 AMAT APPLIED T5X-S2 06-80012171-001 BROOKS TECHWARE 5 EXPRESS ASIS | |
739 | 110-0501 AMAT APPLIED 0190-25529 GHW12Z-13DF2NH-001 GENESIS RF GENERATOR ASIS | |
740 | 1110-01006 RF Match 350khz | |
741 | 1110-01019 AE Match CounterMatch F-J14 13 | |
742 | 1110-01046,3155086-002 REV A / NTWRK MATCH 12.56MHZ 2.5KW AZX 90 DOME R/ AMAT | |
743 | 111-0303 AMAT APPLIED 0020-26014 WEIGHT 150MM CASSETTE CLAMPING | |
744 | 112-0201 AMAT APPLIED 003-1600-27 BROOKS MAGNATRAN7 ASIS | |
745 | 112-0201 AMAT APPLIED AAD-2 HASKEL AIR AMPLIFIER ASIS | |
746 | 113-0301 AMAT APPLIED S0075 ARM TAZMO ROBOT ARM USED | |
747 | 113-0701 AMAT APPLIED RFS3019 MATTSON RF MATCH ASIS | |
748 | 1140-00154 / PSU XANTREX 7.5V 80A FIL MK6 / APPLIED MATERIALS AMAT | |
749 | 115-0101 AMAT APPLIED 0100-01815 (#1) (UNCLEAN) REMOTE REM RACK USED | |
750 | 115-0101 AMAT APPLIED 0100-01815 (#2) REMOTE REM RACK CONTROLLER USED | |
751 | 115-0201 AMAT APPLIED RFG-4-1 L163426 CUBIC RE GENERATOR USED | |
752 | 117-0101 AMAT APPLIED P5000 ETCH TEFRON RING (18EA) USED | |
753 | 117-0301 AMAT APPLIED S0032 S00321030028 TAZMO ALIGNER ASIS | |
754 | 117-0301 AMAT APPLIED S0032 S00321040033 TAZMO ALIGNER ASIS | |
755 | 117-0301 AMAT APPLIED S0032 S00321060039 TAZMO ALIGNER ASIS | |
756 | 117-0301 AMAT APPLIED S0032 S00321080044 TAZMO ALIGNER ASIS | |
757 | 119-0101 AMAT APPLIED 0020-23549 SHIELD, UPPER, AL ARC-SPRAY, SST, 300MM | |
758 | 119-0201 AMAT APPLIED 0021-17770 COVER RING, 300MM SST | |
759 | 119-0401 AMAT APPLIED 0021-24752 SHIELD, UPPER LIFTABLE, ALIGN, AL, 300MM | |
760 | 120-0101 AMAT APPLIED 0020-02344 SHIELD, LOWER, 300MM SIP | |
761 | 120-0101 AMAT APPLIED 0020-54777 SHIELD, INNER, 300MM SIP | |
762 | 120-0201 AMAT APPLIED 0020-28206 SHIELD, UPPER, 8″ HI-PWR TTN, 2ND SOURCE | |
763 | 121-0101 AMAT APPLIED 0021-21812 SHIELD, ALPS 8″ LOWER | |
764 | 122-0101 AMAT APPLIED 0020-34694 LINER, GDP, R2 OXIDEGECO | |
765 | 122-0201 AMAT APPLIED 0020-34694 LINER, GDP, R2 OXIDEGECO USED | |
766 | 122-0301 AMAT APPLIED 0010-21679 ASSY 8in SHIELD VECTRA IMP TI CLAMPED | |
767 | 122-0301 AMAT APPLIED 0020-29322 SHIELD, 8″ CLAMPED, VECTRA IMP USED | |
768 | 123-0701 INFICON TSPTB100 TSP TB100 TRANSPECTOR USED | |
769 | 123-0701 INFICON TSPTW100 TSP TW100 TRANSPECTOR USED | |
770 | 127-0101 AMAT APPLIED 3870-01974 VALVE GATE 8″PNEU ACTR SPECIAL | |
771 | 127-0501 AMAT APPLIED 0010-30528 ASSEMBLY, UPPER FACILITIES PAN USED | |
772 | 127-0501 AMAT APPLIED 0190-09265 wMAGNETRON ASSY, 1500W USED | |
773 | 129-0103 AMAT APPLIED 0010-21264 0010-21393 SHUTTER ROTATION USED | |
774 | 129-0301 AMAT APPLIED 0100-09002 PCB ASSY, VME SBC USED | |
775 | 129-0301 AMAT APPLIED 0100-09003 wPCB ASSY, VME 7710 USE USED | |
776 | 129-0401 AMAT APPLIED 0190-20048 wVME,SBC SYNERGY BD PACKAGE AS USED | |
777 | 130-0201 AMAT APPLIED 0090-76109 OBS-ASSMBLY,ELCTRCL,SBC SYNERGY 68040 USED | |
778 | 130-0201 AMAT APPLIED 0090-76133 ASSY,ELECT,SBC SYNERGY 68040 CPU WITH 16 USED | |
779 | 130-0201 AMAT APPLIED 0100-36035 OBS,ASSY,PCB,DPS SOURCE MATCH | |
780 | 130-0201 AMAT APPLIED 0190-00318 AMAT DX33-00 60-0149-02 61-0273-02 USED | |
781 | 130-0201 AMAT APPLIED 61-0367-38 RADISYS 486 USED | |
782 | 130-0302 AMAT APPLIED 0100-20154 PCB ASSY SMIARM/5500 INTERFACE USED | |
783 | 130-0501 AMAT APPLIED 0100-00162 wEXP I/O DISTRIBUTION BOARD ASSY USED | |
784 | 131-0101 AMAT APPLIED 0020-24534 (#2) 0020-26340 0020-25311 13 FLT SRC-W USED | |
785 | 131-0101 AMAT APPLIED 0040-22023 ADAPTER, 13″ SOURCE W/B, INTEL USED | |
786 | 131-0201 AMAT APPLIED 0020-24534 (#1) ADAPTER COH (AR) 13″FLT SRC-W/ USED | |
787 | 131-0201 AMAT APPLIED 0020-24534 ADAPTER COH (AR) 13″FLT SRC-W/ | |
788 | 131-0301 AMAT APPLIED 0020-20296 FRAME SOURCE 13″ USED | |
789 | 131-0301 AMAT APPLIED 0020-23621 0020-23286 FRAME, SOURCE G12 USED | |
790 | 131-0501 AMAT APPLIED 0240-33168 (#2) 0021-09003 MXP CHAMBER, VC USED | |
791 | 131-0601 AMAT APPLIED 0010-09497 ASSY LID GAS BOX WSI USED | |
792 | 131-0601 AMAT APPLIED 0010-30319 TOP LID FOR LINER, SSGD, 5200 | |
793 | 132-0201 AMAT APPLIED 3155047-005C ADVANCED RFX II 3000 USED | |
794 | 132-0501 AMAT APPLIED 0010-42740R ASSY HIGH EFF. RF MATCH-BIAS ASIS | |
795 | 132-0501 AMAT APPLIED 0010-52033 ASSY RF MATCH ASIS | |
796 | 132-0501 AMAT APPLIED 0190-28484W 3155126-020 NAVIGATOR-1013 ADVANCED RF ASIS | |
797 | 132-0501 AMAT APPLIED 0190-38823-05 3155126-045 NAVIGATOR-1013 ADVANCED ASIS | |
798 | 134-0301 AMAT APPLIED 3870-03804 VALVE GATE, V-TEX L-MOTION, KALREZ 8575 | |
799 | 134-0401 AMAT APPLIED 0010-03406 ASSY APPLICATOR 1.5KW UWAVE WXZ VER 2.5 USED | |
800 | 134-0401 AMAT APPLIED 0010-70302 ASSY LIFT, PRECLEAN I CHAMBER USED | |
801 | 134-0601 AMAT APPLIED 0010-22223 (#1) 0190-28126 REACTIVE USED | |
802 | 135-0201 AMAT APPLIED 0040-09723 UNIBODY, ETCH CHAMBER WITH .397 STEP USED | |
803 | 136-0301 AMAT APPLIED 3930-01078 CNTRL TURBO PUMP 100/240VAC SG 305W USED | |
804 | 136-0301 AMAT APPLIED 3930-01104 CNTRL TURBO PUMP 100/240VAC ET 306W-TF USED | |
805 | 136-0501 AMAT APPLIED 0010-09331 (#1) (WITH COVER) AMPULE/CHAMBER TEOS USED | |
806 | 136-0501 AMAT APPLIED 0010-09331 (#2) (WITHOUT COVER) AMPULE/CHAMBER TEOS USED | |
807 | 136-0501 AMAT APPLIED 0010-09331 (#3) AMPULE/CHAMBER ASSY, TEOS USED | |
808 | 136-0501 AMAT APPLIED 0010-10420 (#2) 5000 PLATFORM VERSION IV UFM-9150 USED | |
809 | 136-0601 AMAT APPLIED 0010-00744 (#1) 20SCCM 122A-11063 USED | |
810 | 136-0601 AMAT APPLIED 0010-00744 (#2) 20SCCM 1159B-00020RV-SPCAL USED | |
811 | 136-0601 AMAT APPLIED 0010-00744 (#3) 20SCCM 122AA-00010-B-S USED | |
812 | 136-0601 AMAT APPLIED 0010-00744 (#4) 20SCCM 1159B-13197—S USED | |
813 | 136-0601 AMAT APPLIED 0010-00744 (#5) 20SCCM RANGE 20 GAS HE USED | |
814 | 136-0601 AMAT APPLIED 0010-00744 20SCCM | |
815 | 136-0601 AMAT APPLIED 0010-76952 (#1) ASSY, IHC CHAMBER A, B, AND C, | |
816 | 136-0601 AMAT APPLIED 0010-76952 (#2) ASSY, IHC CHAMBER A, B, AND C, | |
817 | 136-0601 AMAT APPLIED 0010-76952 ASSY, IHC CHAMBER A, B, AND C, USED | |
818 | 137-0101 AMAT APPLIED 9698871 VARIAN TV2K-G PUMP USED | |
819 | 137-0501 AMAT APPLIED 0010-00745 5000 ETCH INT FND POINT MONOCHROMATOR H1 USED | |
820 | 137-0501 AMAT APPLIED 0010-09935 H1061/1200 MODULE MFG MONOCHROMATOR PREC USED | |
821 | 137-0501 AMAT APPLIED 0010-14157 MONOCROMATOR MODULE ASSY-P/C ENDPNT 19 USED | |
822 | 137-0502 AMAT APPLIED 0240-31487 KIT,HTD THRTL VLVE/GATE VLVE,CHEMREZ,POS USED | |
823 | 138-0101 AMAT APPLIED 64048-PE28-AAV1 49321-02 VAT GATE VALVE USED | |
824 | 138-0401 AMAT APPLIED 1100-0051-00 SIERRACIN/MAGNEDYNE DRIVER USED | |
825 | 139-0101 AMAT APPLIED 64048-PE28-0008 49321-02 VAT GATE VALVE USED | |
826 | 139-0301 AMAT APPLIED 0190-34238W 3155132-008 NAVIGATOR-3013 ADVANCED RF ASIS | |
827 | 139-0301 AMAT APPLIED 0190-41508W 3155132-013 NAVIGATOR-3013 ADVANCED RF ASIS | |
828 | 139-0401 AMAT APPLIED 0190-34238 3155132-008 NAVIGATOR-3013 ADVANCED RF ASIS | |
829 | 139-0401 AMAT APPLIED 0190-34238-001 3155132-008 NAVIGATOR-3013 ADVANCED ASIS | |
830 | 139-0401 AMAT APPLIED 0190-41508 3155132-013 NAVIGATOR-3013 ADVANCED RF ASIS | |
831 | 139-0501 AMAT APPLIED 0190-41508-001 3155132-013 NAVIGATOR-3013 ADVANCED ASIS | |
832 | 140-0101 AMAT APPLIED 0240-23164 0010-23164 USED | |
833 | 140-0201 AMAT APPLIED 0010-09256 CVD GAS BOX USED | |
834 | 140-0201 AMAT APPLIED 0010-40280 ROOF TOP,SUB-ASSY,CGF,DOS USED | |
835 | 140-0301 AMAT APPLIED 0010-30318 TOP LID FOR LINER, SSGD, 5000 USED | |
836 | 140-0301 AMAT APPLIED 0242-01644 0010-36439 COMPONENTS USED | |
837 | 140-0301 AMAT APPLIED 0242-13441 APPLIED MATRIALS COMPONENTS USED | |
838 | 140-0401 AMAT APPLIED 0242-00555 0010-70647 I+,LID,SCR DWN,KALREZ 2037,STD | |
839 | 140-0501 AMAT APPLIED 0040-37192 APPLIED MATRIALS COMPONENTS | |
840 | 140-0501 AMAT APPLIED 0242-00554 0010-70646 I+,LID,SCR DWN,KALREZ 2037,STD | |
841 | 146-0301 AMAT APPLIED 0150-13167 APPLIED MATRIALS COMPONENTS | |
842 | 146-0601 AMAT APPLIED 0620-01407 APPLIED MATRIALS COMPONENTS | |
843 | 147-0501 AMAT APPLIED 0150-35566 C/A GAS PANEL #2 UMBILICAL, 55 FT | |
844 | 148-0101 AMAT APPLIED 0150-35210 HARNESS ASSY CHAMBR A-B- C-D USED | |
845 | 148-0201 AMAT APPLIED 0150-35210 (BROKEN) HARNESS ASSY CHAMBR A-B- C-D USED | |
846 | 148-0301 AMAT APPLIED 0150-35202 CABLE ASSY GAS PANEL UMBILICAL USED | |
847 | 148-0401 AMAT APPLIED 0150-35204 C/A GAS PANEL UMBILICAL #2 USED | |
848 | 148-0401 AMAT APPLIED 0150-35205 HARNESS ASSY MAINFRAME UMBILIC USED | |
849 | 148-0501 AMAT APPLIED 0150-35206 HARNESS ASSY MAINFRAME UMBILIC USED | |
850 | 148-0501 AMAT APPLIED 0150-35207 HARNESS ASSY MAINFRAME UMBILIC USED | |
851 | 148-0601 AMAT APPLIED 0150-35209 HARNESS ASSY PNEUMATICS UMBILI USED | |
852 | 15 Berkeley Process controls MIO-A-2-610 I/O module 950973 | |
853 | 152-0101 AMAT APPLIED PF05100-3B36S-1 PLASMART MATCH ASIS | |
854 | 152-0101 AMAT APPLIED PF05100-3B36S-3 PLASMART MATCH ASIS | |
855 | 152-0201 AMAT APPLIED PF05100-3B36S PLASMART MATCH ASIS | |
856 | 159-0101 AMAT APPLIED NX-HRM-30B1 ES2L39-000040-21 DAIHEN MATCH ASIS | |
857 | 160-0101 AMAT APPLIED HRM-30B4 ES2L39-000040-51 DAIHEN MATCH ASIS | |
858 | 162-0101 AMAT APPLIED 0010-00510 ASSEMBLY BEARING USED | |
859 | 300mm AMAT Endura 0010-42030 Pedestal DTESC MT / 0200-02936 DTESC E-Chuck | |
860 | 301-0101 AMAT APPLIED 0010-76036 ASSY MINI CONTROLLER W/TEOS OPTION VERSI USED | |
861 | 301-0301 AMAT APPLIED 0010-30139 ASSY,HV FILTER,DPS CHAMBER | |
862 | 301-0401 AMAT APPLIED 0010-37741 MOLDED COVER, PUMPING PLATE, TXZ | |
863 | 301-0401 AMAT APPLIED 0020-31708 CLAMP VESPEL OX/MLR/NIT 150MM | |
864 | 302-0101 AMAT APPLIED 0010-70000 wASY MINICONTROL.TEOS OP USED | |
865 | 302-0201 AMAT APPLIED 0010-13622 ASSY, VECTRA IMP RF MATCH .01UF CAP REV USED | |
866 | 302-0201 AMAT APPLIED 0010-13623 ASSY, VECTRA IMP RF MATCH .015UF CAP REV USED | |
867 | 302-0201 AMAT APPLIED 0010-21706 ASSY, VECTRA IMP RF MATCH .015UF CAP USED | |
868 | 3030-01425, AMAT, STEC, MFC 4400MC 10 SCCM WF6 | |
869 | 3030-01788, AMAT, STEC, MFC 4400MC 50sccm SIH4 C2F6 | |
870 | 3030-04095, AMAT, STEC, MFC 7330 300SCCM AR 1/4 VCR MTL-SEAL N/C | |
871 | 3030-06072, AMAT, STEC, MFC 4400 50SCCM AR 1/4VCR MTL NC | |
872 | 303-0101 AMAT APPLIED 0010-22012 CLEANED 8″ HI-TEMP HTR W/ WELDED LUGS USED | |
873 | 3030-14508, AMAT, STEC- LFM T4 TEOS 6.0 GPM | |
874 | 303-0301 AMAT APPLIED 0190-02703 (#1) wMAGNET DRIVE ASSY USED | |
875 | 303-0301 AMAT APPLIED 0190-02703 (#2) MAGNET DRIVE ASSY USED | |
876 | 303-0301 AMAT APPLIED 0190-09024 wMAGNET DRIVER ASSY USED | |
877 | 304-0101 AMAT APPLIED 0010-38755 ASSY, R1-PRIME DTCU, POLY DPS USED | |
878 | 304-0201 AMAT APPLIED 0010-21631 (#1) ASSY, CH A OR B LID with VIEWPORTS USED | |
879 | 305-0101 AMAT APPLIED 0242-75991 0010-30012 KIT, MC ROBOT DRIVE ASSY P500 USED | |
880 | 305-0301 AMAT APPLIED 0010-13927 PEDESTAL LIFT ASSEMBLY PRECLEAN 2K USED | |
881 | 305-0401 AMAT APPLIED 0010-20754 0010-13927 OBS PEDESTAL LIFT PRECLEAN 2 USED | |
882 | 306-0303 AMAT APPLIED 0010-20756 RF TUBE ASSEMBLY PRECLEAN 2 2ND SOURCE | |
883 | 307-0101 AMAT APPLIED 0010-21264 ASSEMBLY SHUTTER LINKAGE USED | |
884 | 307-0101 AMAT APPLIED 0010-21393 ASSY, SHUTTER ROTATION, LINKAGE USED | |
885 | 307-0103 AMAT APPLIED 0010-21578 ASSY SHUTTER ENCLOSURE USED | |
886 | 30709700100 / OPTICAL MICROSCOPE ASSY / APPLIED MATERIALS AMAT | |
887 | 308-0301 AMAT APPLIED 0040-02438 APPLIED MATRIALS COMPONENTS | |
888 | 308-0301 AMAT APPLIED 0040-02818 (DELIVERY 14 DAYS) BLOCK, 2ND SOURCE | |
889 | 308-0402 AMAT APPLIED 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/S, DPS+ USED | |
890 | 308-0501 AMAT APPLIED 0040-21367 PEDESTAL,UNIVERSAL 200 MM 3 POI 2ND SOURCE | |
891 | 309-0101 AMAT APPLIED 0010-22156 0010-22157 0240-03303 APPLIED MATRIALS USED | |
892 | 309-0201 AMAT APPLIED 0010-06464 VALVE PNEU 1.50 OD PORT KALREZ USED | |
893 | 309-0301 AMAT APPLIED MATERIALS 0010-22156 (#1) ASSY, BESC MOTORIZED LIFT USED | |
894 | 309-0401 AMAT APPLIED 0010-01922 ASSY, BIASED ELECTRODE AC BOX USED | |
895 | 309-0401 AMAT APPLIED 0010-13437 (#1) AC-BOX, HTESC USED | |
896 | 309-0401 AMAT APPLIED 0010-13437 (#2) AC-BOX, HTESC USED | |
897 | 309-0401 AMAT APPLIED MATERIALS 0010-13437 (#1) AC-BOX, HTESC USED | |
898 | 310-0101 AMAT APPLIED 0010-04926 HV MODULE ASSY, NEGATIVE, CESC USED | |
899 | 310-0101 AMAT APPLIED 0010-93077 CONNECTOR BOX ASSY, HV FILTER, W/NEG ESC USED | |
900 | 310-0102 AMAT APPLIED 0010-30091 HV MODULE ASSY | |
901 | 310-0102 AMAT APPLIED 0010-30091 HV MODULE ASSY USED | |
902 | 310-0103 AMAT APPLIED 0010-09933 HV MODULE ASSY, ESC USED | |
903 | 310-0201 AMAT APPLIED 0190-01398 OZONE CONTROLLER 4 CHANNEL RS232 (API) USED | |
904 | 311-0101 AMAT APPLIED 0240-70602 KIT, WIDEBODY CHAMBER BAKEOUT WITHOUT HT USED | |
905 | 311-0201 AMAT APPLIED 0021-21143 FRAME, SIDE INLET COOLING, LARGER ID USED | |
906 | 311-0201 AMAT APPLIED 0021-21143 FRAME, SIDE INLET COOLING, LARGER ID USED | |
907 | 311-0501 AMAT APPLIED 0010-22162 (#1) ASSY, WATER BOX, BESC USED | |
908 | 311-0501 AMAT APPLIED 0010-22162 (#2) ASSY, WATER BOX, BESC USED | |
909 | 312-0203 AMAT APPLIED 0190-09427 PLASMA,APPLICATOR,ASP,METCH MXP CENTURA USED | |
910 | 313-0101 AMAT APPLIED 0010-21810 MAGNET ASSY G-12+ ENCAPSULATED DURASOURC USED | |
911 | 313-0201 AMAT APPLIED 0010-38438 ASSY, C-CHUCK, 200MM, CIP 99 ASIS | |
912 | 313-0201 AMAT APPLIED 0040-00876 0040-05592 APPLIED MATRIALS COMPONENTS ASIS | |
913 | 313-0201 AMAT APPLIED 0040-45164 0040-45206 APPLIED MATRIALS COMPONENTS ASIS | |
914 | 313-0301 AMAT APPLIED 0195-11127 APPLIED MATRIALS COMPONENTS USED | |
915 | 313-0401 AMAT APPLIED 3620-01124 (#1) TURBOVAC 361C PUMP TURBO W/CERAMIC USED | |
916 | 313-0401 AMAT APPLIED 3620-01124 (#2) TURBOVAC 361C PUMP TURBO W/CERAMIC USED | |
917 | 314-0101 AMAT APPLIED 0010-01198 ASSEMBLY MINI LP-3 MAGNET USED | |
918 | 314-0301 AMAT APPLIED 0010-21206 MAGNET ASSY DURASOURCE 13 JMW1 USED | |
919 | 314-0401 AMAT APPLIED 0010-20328 OPTIONAL 8″AL MAGNET ASY USED | |
920 | 314-0401 AMAT APPLIED 0010-26441 MAGNET ASSY DURA SOURCE 13 AL USED | |
921 | 315-0101 AMAT APPLIED 0010-05940 ASSY, RH-3 MAGNET RP USED | |
922 | 315-0301 AMAT APPLIED 0010-22043 ASSY PH-3 MAGNET USED | |
923 | 315-0401 AMAT APPLIED 0010-04065 ASSY, MAGNET, SIP REV 2 USED | |
924 | 3152313-000B , 0190-76007 / POWER SUPPLY, (AE) MDX-L12 / AMAT | |
925 | 3155031-020 / AZX63 RF AUTOMATCH W/ ESC,C1 PRESET,AMAT / ADVANCED ENERGY | |
926 | 316-0201 AMAT APPLIED 0040-32190 BASE,COOLING,200MM CATHODE,DPS USED | |
927 | 316-0301 AMAT APPLIED 0040-48767 CATHODE BASE, E-CHUCK, 300MM ULTIMA X, H USED | |
928 | 316-0303 AMAT APPLIED 0040-32449 (DELIVERY 28 DAYS) LID,PUMPING 2ND SOURCE | |
929 | 316-0401 AMAT APPLIED 0020-25769 0040-21013 0040-21014 UPPER WING DUAL USED | |
930 | 316-0401 AMAT APPLIED 0020-25770 0040-21013 0040-21014 LOWER WING DUAL USED | |
931 | 316-0403 AMAT APPLIED 0010-13242 ASSEMBLY,EXTENDED REACH WRIST STOCK | |
932 | 316-0403 AMAT APPLIED 0020-20356 0240-28854 0020-70271 0020-70272 USED | |
933 | 316-0403 AMAT APPLIED 0020-20356 0240-28854 0020-70271 2ND SOURCE | |
934 | 316-0403 AMAT APPLIED 0020-21105 0020-70271 0020-70272 0020-70285 BLADE USED | |
935 | 316-0403 AMAT APPLIED 0240-75104 SPARES, HDP BLADE WRIST ASSY W/CNTNR | |
936 | 316-0501 AMAT APPLIED 0020-20390 0020-70336 ARM WING FROG LEG USED | |
937 | 317-0301 AMAT APPLIED 0020-27945 CLAMP RING 6″ JMF HOT AL HTHU 6 2ND SOURCE | |
938 | 317-0401 AMAT APPLIED 0040-02609 CLEANED LINER, CATHODE, MAGNET, SUPER-E USED | |
939 | 319-0101 AMAT APPLIED 0010-20300 ASSY LIFT PVD USED | |
940 | 319-0103 AMAT APPLIED 0050-38614 WELDMENT, MANIFOLD, 5 STAT, RIGHT,NUPRO | |
941 | 319-0103 AMAT APPLIED 3870-01713 VALVE AIR ACTUATED INJCT CONT W/HTR 1/4 | |
942 | 319-0201 AMAT APPLIED 0010-00889 ASSY LIFTER DEGAS/ORIENTER 2ND SOURCE | |
943 | 319-0201 AMAT APPLIED 0010-01296 ASSEMBLY,PVD LIFTER ORIENTER GIMBAL USED | |
944 | 319-0401 AMAT APPLIED 0730-00080 85472-6 NT361 LEYBOLD TURBOTRONIC NT150/ USED | |
945 | 319-0501 AMAT APPLIED 0190-25450 SD2048DL VERITY SPECTROMETER ASIS | |
946 | 320-0201 AMAT APPLIED 0090-75015 PCBA ASSY,SBC SYNERGY 68040 CONTROLLER USED | |
947 | 320-0201 AMAT APPLIED 0190-09687 ASSY. DWG. STEPPER CONTROLLER VME 44-4E USED | |
948 | 320-0201 AMAT APPLIED 0190-76043 PCBA ASSY, SBC SYNERGY 68040 CONTROLLER USED | |
949 | 320-0201 AMAT APPLIED 61-0595-40 PFS-025-SS-64 COPYRIGHT RADISYS BOARD USED | |
950 | 320-0202 AMAT APPLIED 0100-20069 OBS,PCB WAFER ORIENTER USED | |
951 | 320-0203 AMAT APPLIED 61-0595-40 PBA,AMAT P133W/ENET (BROKEN) COPYRIGHT USED | |
952 | 320-0301 AMAT APPLIED 0010-01348 CARDCAGE ASSY, CH C/D SERIPLEX ULTIMA USED | |
953 | 320-0301 AMAT APPLIED 0090-01433 ASSY AMP/OT CU SZ CHILLER USED | |
954 | 320-0302 AMAT APPLIED 0100-01735 PCB ASSY GAP SERVO USED | |
955 | 320-0302 AMAT APPLIED CPRO3/32-166 9000-31-042 APPLIED MATRIALS USED | |
956 | 320-0401 AMAT APPLIED 0010-77264 0010-04514 0100-37064 APPLIED MATRIALS USED | |
957 | 320-0401 AMAT APPLIED 0100-09169 0100-09299 EXPANDED RS-232 CONTROLLER USED | |
958 | 320-0402 AMAT APPLIED 0100-09172 ASSY,TEOS GAS INTERFACE BOARD | |
959 | 320-0402 AMAT APPLIED 0100-09172 ASSY,TEOS GAS INTERFACE BOARD USED | |
960 | 3210038 / EXTRON VIDEO SWITCHER SW6 RGBHV / APPLIED MATERIALS AMAT | |
961 | 321-0103 AMAT APPLIED 0010-02027 0010-30101 0010-39474 APPLIED MATRIALS USED | |
962 | 321-0201 AMAT APPLIED 0190-20148 PNEUMATIC MANIFOLD MAIN CHAMBER USED | |
963 | 321-0201 AMAT APPLIED 0190-20150 PNUEMATIC MANIFOLD MAIN CHAMBER TRAY USED | |
964 | 322-0101 AMAT APPLIED 0680-01253 CB MAG THERM 3P 600VAC 600A FRAME USED | |
965 | 322-0401 AMAT APPLIED 0242-09033 0010-30063 0010-10973 KIT, CO H.O.T. USED | |
966 | 322-0401 AMAT APPLIED 0242-09036 0010-30064 0010-10973 KIT, H.O.T. PACK, USED | |
967 | 324-0401 AMAT APPLIED 0190-15840 4-PORT UPA, DNET ONLY, 300MM LK REFLEXIO USED | |
968 | 324-0501 AMAT APPLIED 0190-03672 PVD LAMP/ESC/SINGLE ZONE, DUAL MODE, HEA USED | |
969 | 324-0501 AMAT APPLIED 0190-11913 RF MATCH ASSY 300MM TXZ COMDEL USED | |
970 | 324-0501 AMAT APPLIED 0190-76273 DRIVER, PVD LAMP/HEATER DRIVER USED | |
971 | 325-0101 AMAT APPLIED 0730-01122 3620-00138 STP-H301C SCU-H301C USED | |
972 | 325-0201 AMAT APPLIED 0010-75448 ASSY, 150MM CASSETTE HANDLER RIGHT | |
973 | 325-0301 AMAT APPLIED 0730-01010 wCONT FREQUENCY CONVERTE TURBO PUMP TMP- USED | |
974 | 325-0501 AMAT APPLIED 1290-01715 8113040G003 TERM CNTRLR NTWK INTERFACE USED | |
975 | 327-0101 AMAT APPLIED 0010-11997 0040-36180 CLEANED HEATER,TxZ,200MM,SNNF USED | |
976 | 327-0101 AMAT APPLIED 0010-20705 (#2) RF RESONATOR ASSY WITH INTERLOCKS USED | |
977 | 327-0201 AMAT APPLIED 0010-20524 MODIFIED 8″ RF MATCH USED | |
978 | 328-0101 AMAT APPLIED 0010-22223 (#2) ASSY,RESONATOR WITH INTERLOCKS USED | |
979 | 328-0201 AMAT APPLIED 0010-13627 ASSY, HIGH EFFICIENCY RF MATCH-BIAS ASIS | |
980 | 328-0201 AMAT APPLIED 0010-13627 HIGH EFFICIENCY MATCH TESTED REFURBISHED | |
981 | 328-0301 AMAT APPLIED 0010-05846 ASSY, HIGH EFFICIENCY RF MATCH-BIAS, 300 USED | |
982 | 328-0301 AMAT APPLIED 0010-22225 ASSY, HIGH EFFICIENCY RF MATCH, REACTIVE USED | |
983 | 328-0301 AMAT APPLIED 0010-30236 ASSY, HIGH EFF. RF MATCH-BIAS W/ FILTER USED | |
984 | 329-0101 AMAT APPLIED 1110-01043 3155086-001B NTWRK MATCH RF 12.56MH USED | |
985 | 329-0101 AMAT APPLIED 16546-PA21-AAQ1/0217 VAT USED | |
986 | 329-0201 AMAT APPLIED 0010-34895 VECTRA IMP MATCH USED | |
987 | 331-0101 AMAT APPLIED 0040-33998 LINER, SLIT LINER DOOR USED | |
988 | 331-0301 AMAT APPLIED 0040-09002 CHAMBER ETCH USED | |
989 | 336-0101 AMAT APPLIED HAMAT-3 IN USA OZONE INSTRUMENTATION ASIS | |
990 | 336-0201 AMAT APPLIED 0190-76028 OEM-12B3-02 GEN, RF 1250W 13.56MHZ USED | |
991 | 337-0201 AMAT APPLIED 0190-09841-004 HFV8000 ADVANCED GENERATOR USED | |
992 | 338-0301 AMAT APPLIED 0010-04542 CLEANED HEATER, ASSY, 200MM FC WXZPLUS USED | |
993 | 341-0403 AMAT APPLIED 0090-09102 APPLIED MATRIALS COMPONENTS | |
994 | 3620-01331 REFURB, wPUMP HIGH VAC STP301C | |
995 | 3620-01345 PUMP,TURBOMOLECULAR, | |
996 | 3620-01369 Pump turbo,340MCTPhace OA Heat | |
997 | 3870-02311, Applied Materials, VALVE BUTTERFLY THROTTLE W/KF 40 FLANGE | |
998 | 3870-02872 0340X-CA24-BFP1/0066 Applied Materials AMAT PRODUCER VAT Slit Valve | |
999 | 3870-04788 Applied Materials AMAT (VLV,LPV1-50AKKL8085) | |
1000 | 3930-01104 CNTRL TURBO PUMP 100/240 | |
1001 | 50419700000 / OMP ASSY / APPLIED MATERIALS AMAT | |
1002 | 70312800400/ HVU ASSY / AMAT | |
1003 | 70315294100 / MOD. ROBOT CONTROLLER GENCO 4/ APPLIED MATERIALS AMAT | |
1004 | 70514000000 / TRANSCEIVER 422 ASSY / AMAT | |
1005 | 9005 APPLIED MATERIAL PEDESTAL, 300MM MCA HT ESC ASSY, KO AMAT 0010-27430 | |
1006 | 9010-00701ITL / QII G3, CLAMPING, BOX ASSEMBLY / APPLIED MATERIALS AMAT | |
1007 | 9090-01095 / FAI CONTROLLER CHASSIS QX+ / APPLIED MATERIALS AMAT | |
1008 | A30W Ebara mechanical vacuum pumps, 1 w/exhaust gas dilution, water cooled | |
1009 | A30W Ebara mechanical vacuum pumps, extra control box, sets power cables | |
1010 | AA300EX-056B- CU100EXAVMA X2 /KEYTRAN IV ARM- EFFECTORS HOLDER W/HARDWARE / AMAT | |
1011 | ADCS Liquid TEOS Delivery System with Automatic Purge Controller | |
1012 | ADTEC RF GENERATOR AX-2000EU, Novellus 27-286651-00, AX-2000EU-N | |
1013 | Advanced Energy 27-293461-00, 10013-L80Z, Navigator 10013 RF match, pedestal | |
1014 | Advanced Energy 3150073-001 AE RF-10S, RF Generator, 1000W Novellus G19-10071-00 | |
1015 | Advanced Energy 3152603-005, E’wave Power Supply, 3 channels 48V, in box | |
1016 | Advanced Energy 3152603-005, E’wave Power Supply, minor handling damage | |
1017 | Advanced Energy 3155031-011B AZX90 RF Match Network AE | |
1018 | Advanced Energy 3155031-011B AZX90 RF Match Network AE AMAT 8330 Plasma Etch | |
1019 | Advanced Energy AE Apex 3513 RF generator ABM3K000EA120B001A 13.56Mhz 5.5 Kw | |
1020 | ADVANCED ENERGY AE VHF OVATION 35162 3150861-010 AMAT 0190-29389W RF GENERATOR | |
1021 | Advanced Energy APEX 3513 A3M5K000EA120B001A RF generator sn 339527 416070 | |
1022 | Advanced energy APEX 3513 A3M5K000EA120B001A RF generator, sn373980 416068 | |
1023 | Advanced Energy PDW 600 RF Generator, AE 3156014 Lam # 853-15516-003 | |
1024 | Advanced Energy PDX2000 Plasma Drive RF GEnerator | |
1025 | Advanced Energy RF Generator RFPP RF20R 3150058-002 / AMAT 0920-01070 | |
1026 | Advanced Energy VHF 2060 RF GENERATOR AMAT 0920-00056, AE 3150852-004 | |
1027 | Advanced Energy, 3155077-001A, Fixed Match | |
1028 | Advanced Energy, 3155094-002A, Fixed Match | |
1029 | Advantest M4542AD Dynamic Test Handler for systems-on-chip (SOCs), part tool | |
1030 | AE 3155162-020 RF Match Novellus 27-268661-00 Navigator 10013-L80 | |
1031 | AE Advanced Energy 3150273-005 RF match, Mercury 10013 RF MATCH, water cooled | |
1032 | AE Advanced Energy 3153137-932 LITMAS RPS AMAT 0190-39814 Copper Tested As-Is | |
1033 | AE Advanced Energy 3153137-932 LITMAS RPS Remote Plasma Source No Power As-Is | |
1034 | AE Advanced Energy 3155162-027 RF match Novellus 27-306026-00, Navigator 10013 | |
1035 | AE Advanced Energy LF-30 RF generator 2.5KW, Novellus R27-032578-00, paper work | |
1036 | AE Advanced energy MDX-20K master 3152223-003Y power supply RF generator | |
1037 | AE APEX 3513 RF Generator Advanced Energy A3M5K000EA120B001A AMAT 0920-00051 | |
1038 | AE APEX 3513, A3M3K000EA120B001A, Advanced Energies RF generator sn3862 | |
1039 | AE APEX 3513, A3M3K000EA120B001A, Advanced Energies RF generator sn9528 | |
1040 | AEROTECH DR 500 motion controller 208 VAC, DR500R-D-80-160/X3-AS32020C-F7/SHUNT | |
1041 | Aerotech ES13713-3 UNIDEX100 multitasking motion controller, cables and software | |
1042 | AKT CVD CONTROLL BOARD P/N 0243-74031 REV 02 AMAT Applied Materials | |
1043 | Allied Motion Emoteq Harmonic Drive, 300MM Motor (Semitool AMAT T61105-56) | |
1044 | Aluminum Vacuum Chamber 40358-01 18″x18″x6 with Mott Diffuser | |
1045 | AMAT # 0040-20010 STANDARD BODY PVD PROCESS CHAMBER | |
1046 | AMAT ,APPLIED MATERIALS 0100-20003 ASSY PCB DIGITAL I/O BOARD | |
1047 | AMAT / Tosoh, BELL JAR PC2, p/n 036-8100-00 (0040-21178) | |
1048 | AMAT 0010-00834 PEDESTAL, ASSY 150MM OXIDE | |
1049 | AMAT 0010-00957 Wafer orienter Laser Assembly Stock #173 | |
1050 | AMAT 0010-01245 Endura Pre cleam PCLLE SKIRT LEVELING FIXTURE & 7 Mitutoyo gauge | |
1051 | AMAT 0010-01388 LTESC CONTROL BOX ASSY, | |
1052 | AMAT 0010-01418 OUTSOURCED ASSY HEATER LIFT PRODUCER | |
1053 | AMAT 0010-01886 ASSY, CERAMIC DOME, ES DTCU, | |
1054 | AMAT 0010-01989 SS Gas Heater Assembly & 0160-00018 TC Feed Thru High K CVD | |
1055 | AMAT 0010-02342 Ceramic HEATER, CERMAIC ASSY, 8″ DXZ | |
1056 | AMAT 0010-02740, P-CHUCK ASSY, 150MM, CIP99 | |
1057 | AMAT 0010-02977 6-Month Warranty | |
1058 | AMAT 0010-03321 WxZ Heater Wafer Pedestal 8″/200mm NHT | |
1059 | AMAT 0010-03343 WxZ Heater 8″ Assy w/ AMJ Seasoning P5000 Random NHT .029 12.5hr | |
1060 | AMAT 0010-03343 WxZ Heater 8″ Assy. w/ AMJ Seasoning P5000 Random NHT .029 9hrs | |
1061 | AMAT 0010-03359 WxZ Heater 8″ Assy. w/ ROR Seasoning P5000 Random NHT .029 | |
1062 | AMAT 0010-04483 0040-08621 0040-42512 200mm CWXZ Ceramic Heater | |
1063 | AMAT 0010-05197 6″ Silane susceptor | |
1064 | AMAT 0010-05281 TOP ASSY, 0010-05182, 0010-05210 N2 Purge UNIT, 0500-01147 | |
1065 | AMAT 0010-06140 Endura Shutter Assembly & Blade, 300mm, | |
1066 | AMAT 0010-06645 SERVICE PLATE, BASE RING, 300MM RADIANCE , | |
1067 | AMAT 0010-07316 Assembly, Cassette Handler, w/ Tiltout, 200M, 422337 | |
1068 | AMAT 0010-08703 Assembly, Robot Blade, MF, ECP | |
1069 | AMAT 0010-09222 AMPULE ASSY | |
1070 | AMAT 0010-09237 wLAMP MODULE BASIC ASSY, | |
1071 | AMAT 0010-09263 Precision 5000 Pneumatic Panel ASSY, PNEUMATIC MANIFOLD BASIC | |
1072 | AMAT 0010-09309 P5000 CVD 4″ Susceptor | |
1073 | AMAT 0010-09340, SUSCEPTOR LIFT ASSY | |
1074 | AMAT 0010-09341, WAFER LIFT PRECISION 5000 | |
1075 | AMAT 0010-09464 SUSC. ASSY 8″ | |
1076 | AMAT 0010-09495 P5000 Etch chamber lid | |
1077 | AMAT 0010-09786, 5000 CVD SUSCEPTOR 6″ 150MM T2 BSE | |
1078 | AMAT 0010-09845 BEARING AND SHAFT ASSY, DRIVE SIDE, Q.C. | |
1079 | AMAT 0010-09929, MAIN PLATR ASSY, EPD Controller for P5000 | |
1080 | AMAT 0010-09935, MONOCHROMATOR MODULE ASSY, ENDPOINT, PRECISION 5000 | |
1081 | AMAT 0010-09940 Assembly 8″ WSI Gas Box Feedthru, Top Lid, CVD, P5000, 424021 | |
1082 | AMAT 0010-09940, Assembly 8″ WSI Gas Box, Feedthru, Top Lid, CVD, P5000. 420106 | |
1083 | AMAT 0010-09940, Assembly 8″ WSI Gas Box, Feedthru, Top Lid, CVD, P5000. 420107 | |
1084 | AMAT 0010-10521 ASSY, SABPSG 8″ T1 SABB THICK SUSCEPTOR | |
1085 | AMAT 0010-10521 ASSY, SABPSG 8″ T1SABB THICK SUSCEPTOR | |
1086 | AMAT 0010-10521, Susc.8″ T1 Thick, 410793 | |
1087 | AMAT 0010-10521, XYCARB CERAMICS, Thick, Susceptor 8″, T1SABB, SABPSG. 417357 | |
1088 | AMAT 0010-10556, MONOCHROMATOR MODULE ASSY, CENTURA | |
1089 | AMAT 0010-10973, H.O.T. DETECTOR MODULE, AMP092009 | |
1090 | AMAT 0010-10973, H.O.T. DETECTOR MODULE,with ANALYZER CARTRIDGE 442N | |
1091 | AMAT 0010-10982, 0020-34862 SHELL ASSY, 150MM, FLAT(1S), 6 INCH, 5.679DIA,5MIC | |
1092 | AMAT 0010-11591 ASSEMBLY, BELT TENSIONER, INTERNAL, BRUS, | |
1093 | AMAT 0010-12123 Assy PLC Controller 200MM Wald CH. A OR | |
1094 | AMAT 0010-13321 W ASSY, ROBOT DRIVE, 8″ | |
1095 | AMAT 0010-14796 ASSEMBLY, MAG DRIVER, 300MM EMAX AP | |
1096 | AMAT 0010-16690 CT’ HV MODULE ASSY. 5KV, | |
1097 | AMAT 0010-18129 TOP LOCAL MATCH ASSY., HDP-CVD | |
1098 | AMAT 0010-19010 MOTORIZED LIFT, 300 MM ASSEMBLY, | |
1099 | AMAT 0010-19171 STOPPER ASSEMBLY LOWER RIGHT 200/300MM, WORKING | |
1100 | AMAT 0010-19199 ASSY, BOSCH PVD/PC WAFER LIFT AND MTR AS | |
1101 | AMAT 0010-20113 APPLIED MATERIALS ROBOT ASSEMBLY HUB AND ARMS | |
1102 | AMAT 0010-20321 ORIENTER, FEEDTHRU, ASSY UPTIME | |
1103 | AMAT 0010-20524 8′ 200mm Preclean RF Match Refurbished | |
1104 | AMAT 0010-20664 ASSY, SLIT VALVE, APPLIED MATERIALS, Stock #111 | |
1105 | AMAT 0010-21668, Magnet assy, PVD, very clean, looks or rebuilt | |
1106 | AMAT 0010-22716 B101/CHILLER AC-H20 BOX ASSY | |
1107 | AMAT 0010-23302 CONTROLLER ASSY, CHAMBER, EPI 300MM | |
1108 | AMAT 0010-23684 TOP MATCH ASSY 300MM ULTIMA | |
1109 | AMAT 0010-23802 24VDC Power Supply | |
1110 | AMAT 0010-26139 ASSY, 6-PORT SPINDLE , 200MM MIRRA , USED | |
1111 | AMAT 0010-27023 PUSHER VAPOR DRYER DESICA, | |
1112 | AMAT 0010-30029 Rev. C P-Chuck Lift | |
1113 | AMAT 0010-30501, OUTER RF FILTER BOX ASSY | |
1114 | AMAT 0010-30686, High Efficiency RF Match, REV: R | |
1115 | AMAT 0010-34832 REV001 Pedestal Integration Box RF INTLK CP81 | |
1116 | AMAT 0010-35384 Assembly, Wafer Lift, RTP Chamber | |
1117 | AMAT 0010-35633 300MM HDPCVD DOME TEMP CONTROLLER , USED | |
1118 | AMAT 0010-36162 RF Match, Stock #174 | |
1119 | AMAT 0010-39201, Assy, Controller Video/EMO Bulkhead, 417464 | |
1120 | AMAT 0010-39867 HV MODULE ASSY, POSITIVE CESC | |
1121 | AMAT 0010-40296 RF match Antenna, dome assy, DOS, MAR | |
1122 | AMAT 0010-47782 0100-01753 300MM DNET EPI CHAMBER CS CONTROLLER CDN500R CDN496R | |
1123 | AMAT 0010-71140 VME Chassis | |
1124 | AMAT 0010-75277 Cassette Assembly LLA (PA200-79MDT) CORROSIO | |
1125 | AMAT 0010-76001, Assembly 8 Slot Storage Elevator Wafer Cassette Handler | |
1126 | AMAT 0010-76340 ASSY 6″ HTHU BUFFER BLADE | |
1127 | AMAT 0010-76413 8″ Quartz Blade Assembly | |
1128 | AMAT 0010-76467 ASSY HEAT EXCHANGER MODIFIED AMAT-0 208V , USED | |
1129 | AMAT 0010-77357 DDF3 PNEUM CNTRL UNIT | |
1130 | AMAT 0010-77463 FLYWHEEL,200MM,DRYER(0010-77463), | |
1131 | AMAT 0010-90496 Spin Scan Drive VA MAC112A-0-VD-1-B/130-A-1/I00625/S005 Indramat | |
1132 | AMAT 0010-91456 ASSY, ARM POSITION SENSORS | |
1133 | AMAT 0010-91456 Assy, Arm Position Sensors, 0010-91456ITL, 415695 | |
1134 | AMAT 0010-91829 Source Isolation Vacuum Gate Valve, 08140-FA24-AIB1/004 A-649610 | |
1135 | AMAT 0010-92537ITL ASSY DUMMY CASSETTE (300) | |
1136 | AMAT 0010-A0208 IPM ELecricity Box | |
1137 | AMAT 0010-A0213 OPTICAL HEAD CMP | |
1138 | AMAT 0010-A3840 Assembly- Temperature Controller PCA Module | |
1139 | AMAT 0010-A5880 RETICLE HOLDER ASSY | |
1140 | AMAT 0010-a7810 UV Relay Assy | |
1141 | AMAT 0010-A8230 PBS ASSY, COMPLUS 2 | |
1142 | AMAT 0010-A9291 Metrology Polarizer ,GF UNION FILTER WHEEL ASSY | |
1143 | AMAT 0020-00144 SUSCEPTOR XZYARB,200MM.EPI | |
1144 | AMAT 0020-01047 Bell Jar Extension | |
1145 | AMAT 0020-02126 BELLOWS SEAT, BOTTOM, REV. 5.2 HEAD, ECP | |
1146 | AMAT 0020-02126 Bellows seat, Bottom, REV.5.2 HEAD, ECP | |
1147 | AMAT 0020-02126 Bellows seat, Bottom, REV.5.2 HEAD, ECP, IM1988 | |
1148 | AMAT 0020-02126 Bellows seat, Bottom, REV.5.2 HEAD, ECP, S105000-0000 | |
1149 | AMAT 0020-02126 Bellows seat, Bottom, REV.5.2 HEAD, ECP, S243 | |
1150 | AMAT 0020-02126 Bellows seat, Bottom, REV.5.2 HEAD, ECP, S272 | |
1151 | AMAT 0020-02126 Bellows seat, Bottom, REV.5.2 HEAD, ECP, S503 | |
1152 | AMAT 0020-02344 SHIELD, LOWER, 300MM SIP CU, KIT, CSP, TI, C4 CLEANED | |
1153 | AMAT 0020-02348 CLAMP, LOWER SHIELD, 300MM SIP , | |
1154 | AMAT 0020-06837 Geneva Wheel Locking Arm, 10670800 1206, 410414 | |
1155 | AMAT 0020-07701 Shield Upper Ti TiN Al Flame Spray Endura sputter Chamber 418393 | |
1156 | AMAT 0020-10811 RING, INSULATOR 150MM EB | |
1157 | AMAT 0020-12396 TOP PLATE HEATER ECP ANNEAL 300MM | |
1158 | AMAT 0020-12396 Top Plate Heater ECP Anneal 300mm, 411025 | |
1159 | AMAT 0020-12397 Lower Plate Heater ECP 300MM Anneal | |
1160 | AMAT 0020-13814 200MM BLADE W/WAFER POCKET MC-ROBOT P5000 | |
1161 | AMAT 0020-18062 HDPCVD Ultima Chamber Ceramic Dome, HDP CVD with Center Hole | |
1162 | AMAT 0020-18068 ENDURA, LOWER SHIELD, OEM, USED, EXCELLENT COND. | |
1163 | AMAT 0020-21464, Shield TIW 6″ Assy, 0020-22547 Adapter 11.3″ Source. 417373 | |
1164 | AMAT 0020-23277 Lower shields, SST, 8 inch, 101%Tin PA | |
1165 | AMAT 0020-23549 Upper Shield | |
1166 | AMAT 0020-27937 Bellows Location Insulator, Q2 | |
1167 | AMAT 0020-28033 ENDURA, TA/TAN, UPPER SHIELD, OEM, USED, EXCELLENT COND. | |
1168 | AMAT 0020-29719 Pre clean Endura sputter Pedestal quartz chuck ZPD CH34 | |
1169 | AMAT 0020-29744 LOWER SHIELD, SST, ARC SPRAYED , | |
1170 | AMAT 0020-30404 Perf. Plate, 150MM BW | |
1171 | AMAT 0020-30629, chucks, looks , very clean | |
1172 | AMAT 0020-31664 Baffle, Purge, Chamber, BWCD | |
1173 | AMAT 0020-31664 Rev.A, 94-J0331-00, Bottom Purge, Manifold, CVD Chamber. 417449 | |
1174 | AMAT 0020-31664 Rev.A, 94-J0331-00, Bottom Purge, Manifold, CVD Chamber. 417451 | |
1175 | AMAT 0020-31788 DOOR POSITION “A” WITH ENDPOINT PHASE II | |
1176 | AMAT 0020-34030 Base Pedestal ESC Simple Cathode | |
1177 | AMAT 0020-34381 GAS BOX, EXZ 407128 | |
1178 | AMAT 0020-34438 CHASSIS, BULKHEAD, DPS | |
1179 | AMAT 0020-34694 Chamber liner, anodized, MXP+ or super E Etch chamber | |
1180 | AMAT 0020-42122 HEATER, 8″, WXZ | |
1181 | AMAT 0020-42290 Centura, Endura ROBOT Blade 8 inch Tight Tol | |
1182 | AMAT 0020-42290 Centura, Endura ROBOT Blade 8 inch Tight Tol | |
1183 | AMAT 0020-44568 PEDESTAL, 294MM | |
1184 | AMAT 0020-45069 SEAL, METAL, E-TYPE, 7.669 ID | |
1185 | AMAT 0020-48303 SHIELD, LOWER CLEANCOAT 300MM CLEANED | |
1186 | AMAT 0020-48892 CLAMP RING, 6″ SMF, AL, EE 2.00MM, 4TABS | |
1187 | AMAT 0020-54777 002 Shield Inner 300mm SIP, | |
1188 | AMAT 0020-54777 SHIELD, INNER 300MM SIP , | |
1189 | AMAT 0020-60201 DEP RING,8″ SNNF,ESC,9MM,AL W/ MASK,S/B, | |
1190 | AMAT 0020-61991 COVER HOUSING WAFER HEAD 300mm | |
1191 | AMAT 0020-62093 SHIELD, X SHAFT, | |
1192 | AMAT 0020-88831 Turbo Pump | |
1193 | AMAT 0020-93869 BLOCKER DD3328 SACVD 300mm ” “ | |
1194 | AMAT 0021-00271 MOUNTING RING FOR POLY R2 DTCU | |
1195 | AMAT 0021-01602 Manifold, input water NI PL, TI – XZ | |
1196 | AMAT 0021-01602 MANIFOLD,INPUT,WATER,NI PL, TI-XZ | |
1197 | AMAT 0021-04905 Shield, Shaft SRD, ECP 326590 | |
1198 | AMAT 0021-11075 101 TTN PEDESTAL, 200 MM FLOATING PIN, DEPOSITION DAM | |
1199 | AMAT 0021-12063 SHIELD, ONE PIECE, 190, ENDURA, OEM, USED, EXCELLENT COND. | |
1200 | AMAT 0021-14063 BODY, NOVA WAFER ROTATION, REFLEXION 300 | |
1201 | AMAT 0021-19705 Upper Shield, 60/70 MM P.D., 300mm | |
1202 | AMAT 0021-19877 Spider Legs, 6 Screws, 200MM SRD, IECP | |
1203 | AMAT 0021-21443 SHIM, CLAMPING, GAMMA CU, TITANIUM, USED | |
1204 | AMAT 0021-21617 COVER, RING 8″ 55 DEG, 2 TABS, MASK – | |
1205 | AMAT 0021-33836 AL 3003, SHIELD LOWER ADV TTN 300MM BEADBLAST & TWAS FIR CLEANED | |
1206 | AMAT 0021-42136,FACEPLATE, LOWK XTRA ROW EXTENDING FLO | |
1207 | AMAT 0021-77092 MOUNT-DRIVE MOTOR, | |
1208 | AMAT 0021-77505 JIG, SUPPORT PAD, WORKING | |
1209 | AMAT 0040-01419 Housing, Arm ECP | |
1210 | AMAT 0040-03349 COOLING WATER BOX 200MM TXZ, | |
1211 | AMAT 0040-05528 ADAPTER ELECTRA, IMP OU | |
1212 | AMAT 0040-08137-008 Cathode Inner Filter RF Assembly Etch Chamber 300mm ESC | |
1213 | AMAT 0040-08917 PROTECTOR, MEG HVM | |
1214 | AMAT 0040-18219 LASED, PEDESTAL, 200MM SNNF SML FLT, USED | |
1215 | AMAT 0040-20100 TIW Magnet Endura, 325916 | |
1216 | AMAT 0040-34148 LINER, GDP, 43R HOLE, HART 200MM | |
1217 | AMAT 0040-34866 LINER CATHODE MAG RING WAFFEL-LESS 200MM E-MAX ” “ | |
1218 | AMAT 0040-35851 Pedestal, ESC 195mm, Flat, DPS Chamber | |
1219 | AMAT 0040-35851 PEDESTAL, ESC, 195mm FLAT, DPS | |
1220 | AMAT 0040-37642 DPS Upper Liner | |
1221 | AMAT 0040-39208 WELDMENT,ENCLOSURE,LDS,TANTALUM | |
1222 | AMAT 0040-40865 Heater Mounting Plate 300MM Anneal | |
1223 | AMAT 0040-41189 LP-Liner, Cathode, Mag Ring, Waffle-Less | |
1224 | AMAT 0040-41834 MOTOR COVER, 15:1 MOTOR, LID HOIST, 300M | |
1225 | AMAT 0040-41924 ESC ASSY, 200MM SNNF DPS HT CATHODE | |
1226 | AMAT 0040-48030 LINER, TOP, TICL4 TIN , | |
1227 | AMAT 0040-48339 Faceplate, Dual Gas, 200MM TICL4 TIN | |
1228 | AMAT 0040-48594 ESC 300MM DUAL HE HDPCVD | |
1229 | AMAT 0040-50657 300MM VHP Robot Pivot | |
1230 | AMAT 0040-70629 REFLECTOR PLATE, INJECT, 300MM RADIANCE PLUS | |
1231 | AMAT 0040-70743, 8″ HART Chamber liner, cleaned | |
1232 | AMAT 0040-79916 LINER, CATHODE, DIRECT-COOLED, BAFFLE, 3 | |
1233 | AMAT 0040-80232 CONNECTOR STRAP ASSY – QX | |
1234 | AMAT 0040-80332 BOSS,UPPER GRIPPER | |
1235 | AMAT 0040-80413 RING ASSY,CHAMBER SEALING – QX | |
1236 | AMAT 0040-83384 LINER CHAMBER CT, W/LEAK CHECK PORT, EHA | |
1237 | AMAT 0040-85475 Rev. 004 300mm Ceramic heater 0040-07033 | |
1238 | AMAT 0040-85475 SHAFT, ALUMINUM HA-12 CLAMP HEATER 300MM | |
1239 | AMAT 0040-85748 CHAMBER LINER RIGHT, YTTRIUM, STEP,PRODU | |
1240 | AMAT 0040-87418 ROLLER WELDED MEG MODULE 200MM, WORKING | |
1241 | AMAT 0040-91179 300mm Heater Assy | |
1242 | AMAT 0040-98210 Assembly, Bellows Vacuum Robot | |
1243 | AMAT 0041-05535 Liner, Cathode, Direct-Cooled, Baffle Y2 | |
1244 | AMAT 0041-11052 TOP ESC,0020-32909 PED 200MM NOTCH(2) 0.8″ THK AMAT w/Warranty | |
1245 | AMAT 0041-35370 SHOWERHEAD, DUAL ZONE, SINGLE GAS FEED | |
1246 | AMAT 0045-00182 MAIN FRAME, 30KV EXTRACTION | |
1247 | AMAT 0045-90037 HOUSG,FLT,ORNTR,150MM (NM)47.5 | |
1248 | AMAT 0050-04994 WELD MNFLD, LOCC, 1.6″ STD, RTP | |
1249 | AMAT 0050-05380 MANIFOLD, 4 STATION VALVE, N/O, LEFT, RT | |
1250 | AMAT 0050-05981 WELDMENT, GAS A MNFLD, VAPORIZER CUXZ | |
1251 | AMAT 0050-06327 LINE, MANIFOLD 5-PORT N/C RTP, HTF CENTU | |
1252 | AMAT 0050-07451 WELDMENT, GAS C/DUMP VALVE, CVD CUXZ | |
1253 | AMAT 0050-32954 WLDMNT L6 & 7 & 8 PG WXZ VERIFLO VALVE | |
1254 | AMAT 0050-42324 LINE, MANIFOLD DOWNSTREAM, NO P/P, SINER | |
1255 | AMAT 0060-02015 REV.003 (0060-76128) Power Distribution Unit | |
1256 | AMAT 0090-00091 ESC Electrostatic Chuck Applied Materials | |
1257 | AMAT 0090-00240 Assembly, MF RF ON Rotation L | |
1258 | AMAT 0090-00240 Assembly, MF RF on Rotation Lamp 417335 | |
1259 | AMAT 0090-06520 CONTROLLER RTC CPCI ENDURA EXTENDED BLOCK 1 (SST DNP-CPCI-3U-4) | |
1260 | AMAT 0090-09276 ESC Shell Assembly 195MM DPS | |
1261 | AMAT 0090-09298 ESC ASSY,200MM, NOTCH(2),SHWR, THERM | |
1262 | AMAT 0090-76109, ASSY, ELECT, SBC, SYNERGY 68040 CNTRL | |
1263 | AMAT 0090-77106 Assembly, RTD Electronic. 0020-78912 | |
1264 | AMAT 0090-91609 Splitter Box X13AB, 409382 | |
1265 | AMAT 0090-91890 Gas and temperature control chassis with certificate | |
1266 | AMAT 0090-A0782, DETECTOR, EDR PMT ASSY | |
1267 | AMAT 0090-A1901 CDMI 0190-A1231 0090-A0332 0090-A2690 0010-B9870 0090-A3930 | |
1268 | AMAT 0090-A2070 TRPU 0190-A1231 FDCU 0090-A2080 TRP | |
1269 | AMAT 0090-A9920 IPU 2.4Ghz DR200 Base w/Software | |
1270 | AMAT 0100-00192 Shuttle Sensor Interconnect Assembly, PCB, 410381 | |
1271 | AMAT 0100-00267 PCB, ASSY, TXZ CUZ DLI MUX ADIO DAUGHTER | |
1272 | AMAT 0100-00436 PCB Assembly, HDPCVD 300mm OPTO Interface | |
1273 | AMAT 0100-00596 PCB ASSEMBLY, 13 EUROFAST RECEPTACLE GP | |
1274 | AMAT 0100-00777 PCB, DUAL STEPPER INTERFACE | |
1275 | AMAT 0100-01159 PWBA DAQ MICRO LINKED | |
1276 | AMAT 0100-01329 PCB ASSEMBLY, INTERLOCK PERSONALITY BOAR | |
1277 | AMAT 0100-09254 PCV ASSEMBLY ENDPOINT SELECT INTERCONNEC | |
1278 | AMAT 0100-14010, Console I/O, Back Plane PCB | |
1279 | AMAT 0100-20214 PCB Assembly FA/RGA Interface | |
1280 | AMAT 0100-20238 PCB Assembly, Disk Power Distribution | |
1281 | AMAT 0100-35231, PCB , SERIPLEX I/O DISTRIBUTION, GPLIS | |
1282 | AMAT 0100-38019, ASSY, PCB, DLVRY LINE/INJT HEATER CNTRL | |
1283 | AMAT 0100-76119 PCB Assembly, Power Supply | |
1284 | AMAT 0100-89004 PCB Assembly, Lamp Fail Detector | |
1285 | AMAT 0100-89004 PCB ASSEMBLY, LAMP FAIL DETECTOR, P2, VAL-P2-1214-01 | |
1286 | AMAT 0100-90971, PWBA, Flood Gun Emission CNTRL, 410378 | |
1287 | AMAT 0103-01002 Shield Inner Encore 8″, | |
1288 | AMAT 0140-01363 HARNESS ASSY, CELL HEAD INTCONN, ELECTRA | |
1289 | AMAT 0140-01553, HARNESS ASSY, COMMON CHAMBER PRODUCER S | |
1290 | AMAT 0140-08759 HARNESS ASSY BB1 LDM NT, | |
1291 | AMAT 0140-10095 KIT Harness Assembly, Sensor Interconnect | |
1292 | AMAT 0140-18283, HARNESS ASSEMBLY, RIGHT CHAMBER SIGNALS | |
1293 | AMAT 0140-77282 AO IO HARNESS,CNTRL-MNFR | |
1294 | AMAT 0150-01038 CABLE ASSY, SEB PCB TO BULKHEAD UPPER IN | |
1295 | AMAT 0150-04505 CABLE ASSY SYSTEM INTLK GAS PNL INTLK, P | |
1296 | AMAT 0150-09549 CBLE HEATED FINAL FILTER, | |
1297 | AMAT 0150-10501 CABLE ASSY CHAM. UMBIL A-B-C-D 60 FT | |
1298 | AMAT 0150-76315 REV001 13.56MHz RF CABLE | |
1299 | AMAT 0150-77049 Cable Assembly, Digital I/O BP TO, 419097 | |
1300 | AMAT 0190-00318, VGA VIDEO CONTROLLER ASSY, AMAT 486, 61-0880-40, PFS-003-02 | |
1301 | AMAT 0190-00462 Mass Storage, Module, SBC | |
1302 | AMAT 0190-00695 Cable Assembly, High Voltage Y-JUNCTION | |
1303 | AMAT 0190-01553 TBV DRIVE ASSY, THROTTLE VALVE 15:1 GEAR | |
1304 | AMAT 0190-01905 CONTROLLER, SETCH LL HEATER | |
1305 | AMAT 0190-02977 ASTEX ABX-X355; CONTROLLER,2.0/13.56MHZ ETO RF, M VERSION | |
1306 | AMAT 0190-04657 ENDURA MAINFRAME TO GEN RACK WAFER BIAS PED COAX CABLE RG-217/U | |
1307 | AMAT 0190-05276 CDO,LWR DOUBLE SECONDARY-TOWER,C-276,HAST | |
1308 | AMAT 0190-06212 Heater, Exhaust, Zone 3, RTP XE, 200MM | |
1309 | AMAT 0190-07277 Assembly, Upgrade, Flipper Mtr., Wet Robot | |
1310 | AMAT 0190-07917 FLOW METER | |
1311 | AMAT 0190-08599 PURCHASE SPECIFICATION, MULTIMETRIX SHUT | |
1312 | AMAT 0190-09291 Ring, Wafer Lift, REV 1 CERAMIC, 200 MM | |
1313 | AMAT 0190-09348 P5000 CVD Chamber susceptor assy. 200mm, with certificate | |
1314 | AMAT 0190-09427 PLASMA,APPLICATOR,ASP,METCH MXP CENTURA | |
1315 | AMAT 0190-099769 ASP Chamber Magnatron Stock #518 | |
1316 | AMAT 0190-10603 SPEC, LINEAR GUIDE, KAWASAKI CMP FI RO | |
1317 | AMAT 0190-10643 Kensington EG/EGA Robot, Waist – AXIS PCB, | |
1318 | AMAT 0190-10643 KENSINGTON EG/EGA ROBOT, WAIST-AXIS PCB, | |
1319 | AMAT 0190-10680 BALL SCREW & RECIRCULATING BALL NUT REPL | |
1320 | AMAT 0190-12531 DUAL SWLL LAMP DEGAS DRIVER / 0242-30217 KIT ASSY ” “ | |
1321 | AMAT 0190-15828 MEI-XMP-SERCOS-PCI Motion Controller Board, | |
1322 | AMAT 0190-16633 TWO CHANNEL MAGNET DRIVER | |
1323 | AMAT 0190-17146 AERA TCFC-PN980CR2BA ” “ | |
1324 | AMAT 0190-19549 CARD P-M 1.6GHZ 512MB RAM VME BUS SINGLE MIRRA CMP | |
1325 | AMAT 0190-19840, P-110-2032, FEEDTHROUGH, CONN ASSY 8-COND ROTATING FEED-THRU | |
1326 | AMAT 0190-20048, VME Board ASSY V21 SBC Synergy PCB | |
1327 | AMAT 0190-22090 WAFER LOADER ORIENTER | |
1328 | AMAT 0190-22676 PROBE ASSEMBLY, RTA, SC ECP, | |
1329 | AMAT 0190-35650 , 0100-35231, ASSY, SERIPLEX MUX I/O PCB(5), (144H-175H) | |
1330 | AMAT 0190-35650, SERIPLEX MUX I/O PCB(5), (144H-175H) | |
1331 | AMAT 0190-35653, SERIPLEX MUX I/O PCB(1),(016H-047H) | |
1332 | AMAT 0190-35798 16 CHANNEL TEMPERATURE CONTROLLER | |
1333 | AMAT 0190-36376 High Voltage Power Supply 4.8kV Astex AGL AG1111 | |
1334 | AMAT 0190-36523 REV A Temperature Control Thermometer As-is | |
1335 | Amat 0190-36524 Temperature Control Thermometer AS-IS | |
1336 | AMAT 0190-37322 ENDURA2 CVD TOP ASSY SICONI MOWN MKS | |
1337 | AMAT 0190-37447 YASKAWA MP2300/260IF-01 Controller ” “ | |
1338 | AMAT 0190-40183 Ring, Cooling DOS,Centering Style MESH GR | |
1339 | AMAT 0190-70102 VGA VIDEO CONTROLLER | |
1340 | AMAT 0190-77284 NOVA CONTROL UNIT 210-48100-01 , | |
1341 | AMAT 0190-77349 TRANSDUCER PLATE, 300MM REFLEXION CMP , | |
1342 | AMAT 0190-77527 SERVOMOTOR, PC ROTATION | |
1343 | AMAT 0190-A0001 THETA AXIS STAGE | |
1344 | AMAT 0190-A0018 Spindle Shaft/Ball Bearing Assy Opal | |
1345 | AMAT 0195-00178 ANALOG2 COLUMN CONTROL BOARD II (A2 CCB- | |
1346 | AMAT 0195-00185 HV ESC VACUUM HARNESS ASSEMBLY | |
1347 | AMAT 0200-00715 BASEPLATE W/BAFFLE QTZ SERIALIZED | |
1348 | AMAT 0200-01001 HOOP V2,CENTERING, 200MM IBC,SF3 ECP | |
1349 | AMAT 0200-01080 DEP RING, PVD PROCESS, 300MM ESC, OEM, | |
1350 | AMAT 0200-01326 INSULATOR, 300MM SOURCE ADAPTOR, CERAMIC, | |
1351 | AMAT 0200-01996 LOWER ISOLATOR, B-LINER, 200MM, TICL4 | |
1352 | AMAT 0200-02698 SINGLE RING QUARTZ ” “ | |
1353 | AMAT 0200-02935 Lid, Ceramic Assembly 300MM DPS2Etch, | |
1354 | AMAT 0200-02962 SINGLE RING QUARTZ 200MM SNNF (.25 HT) R | |
1355 | AMAT 0200-03168 DEP RING, 200MM CLEANCOAT, BARE, 99.8%, | |
1356 | AMAT 0200-03432K COLLAR 300-5 4.4MM TALL ” “ | |
1357 | AMAT 0200-03598 / APPLIED MATERIALS BLANK LID, PHOTOMASK TETRA II | |
1358 | AMAT 0200-04191MK COLLAR 300MM 5.4MM PRODUCER ETCH ” “ | |
1359 | AMAT 0200-05139 ROBOT BLADE | |
1360 | AMAT 0200-05358 REFLECTOR, PRIMARY M14-0-2 INSIDE PRODUC , | |
1361 | AMAT 0200-08207 QUARTZ GRIPPER ARM /end effector | |
1362 | AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials # | |
1363 | AMAT 0200-09151 Ring, Electrode 125MM EB | |
1364 | AMAT 0200-09319, RING OUTER 150MM SHADOW RING BWCVD | |
1365 | AMAT 0200-09706 RING,CENTERING,200MM 194 MM,NOTCH(200.5) | |
1366 | AMAT 0200-09847 Ring, Clamp, Flat, AL 100MM, .95HT Finger | |
1367 | AMAT 0200-09995 RING, INNER, ALN 8″/5MM NOTCH 201 WxZ | |
1368 | AMAT 0200-10004, RING, INNER, 150 SMF 5MM | |
1369 | AMAT 0200-10066 RING,CENT 125/115 1FL 42.5MM(125.5)SR, | |
1370 | AMAT 0200-10164 SHIELD, PUMPING INSERT, SIN, DXZ, | |
1371 | AMAT 0200-10555, Base Plate W/Baffle Quartz, 395704 | |
1372 | AMAT 0200-18062 Rev.3, Applied Ceramics 91-01017A, Dome, 14 ID HDPCVD. 418248 | |
1373 | AMAT 0200-18109 COLLAR, 200MM SNNF, SML FLT, ULTIMA HDPC, | |
1374 | AMAT 0200-18109 COLLAR, 200MM,SNNF,SMLFLT,ULTIMA/AC | |
1375 | AMAT 0200-20331 Cover Ring , | |
1376 | AMAT 0200-20437 ENDURA, COBALT, DEP RING, OEM, USED, EXCELLENT COND. | |
1377 | AMAT 0200-35183 SUSC. TOS R3 ROTATION 150MM, EPI | |
1378 | AMAT 0200-35234 Susceptor, SGL, R3 Rot, EPI, 150MM, 9.7″OD X 15″THK | |
1379 | AMAT 0200-35527 Heater Ceramic, NGK 200mm | |
1380 | AMAT 0200-35671 CYLINDER, RTP SHORT SUPPORT, 300MM | |
1381 | AMAT 0200-35956 DPS or HDP CVD Ceramic Dome, | |
1382 | AMAT 0200-35956 DPS Poly Ceramic Dome | |
1383 | AMAT 0200-36524 Liner, Lid TXZ, | |
1384 | AMAT 0200-36629 6 IN HEATER COVER | |
1385 | AMAT 0200-36629 COVER PLATE | |
1386 | AMAT 0200-39137 DOME CERAMIC, DPS CHAMBER | |
1387 | AMAT 0200-39283 ADAPTER RING 8″ SRCMP WxZ | |
1388 | AMAT 0200-39284 RING, INNER 197/200 NOTCH SRCMP WXZ | |
1389 | AMAT 0200-39324 INSERT, RING, CHAMBER, CERAMIC, DXZ, | |
1390 | AMAT 0200-39361 ISOLATOR SILANE PUMPING RING PRODUCER | |
1391 | AMAT 0200-40013 Slab, Silicon, pedestal | |
1392 | AMAT 0200-40013 SLAB, SILICON, PEDESTAL, OEM, | |
1393 | AMAT 0200-40130 Cover Plate Si 200mm ½” Thick 16 | |
1394 | AMAT 0200-40130 COVER PLATE, 200, 12 THK | |
1395 | AMAT 0200-40209 Slab, Si 18X Holes, BAFFLE, .080 THK CGF | |
1396 | AMAT 0200-40218 DOME, TAPERED STYLE, FIRE POLISHED | |
1397 | AMAT 0200-50122 DOME, DOS, NON-FLAME, POLISHED | |
1398 | AMAT 0220-21547 CONS. KIT 8″ COBALT SNNF | |
1399 | AMAT 0222-09042 28M (92FT) CABLES REM. TO MAIN09042-1 | |
1400 | AMAT 0222-41508 Retrofit 2nd Wafer Orienter | |
1401 | AMAT 0224-49613 WELDMENT,MANIFOLD SINGLE VALVE 8-LINE, | |
1402 | AMAT 0225-97598 SWINGARM ASSY, MODIFIED | |
1403 | AMAT 0226-31554 Voltage Sensor PCB | |
1404 | AMAT 0227-01117 WLDMNT, DUAL VALVE, FEM/FEM, MNL/MNL | |
1405 | AMAT 0227-04585 RGLTR, VERIFLO SQ60 MM 100PSI 2 PORT 0 | |
1406 | AMAT 0227-10215 EMC Comp., Cable Assembly, Gas PNL #1 UMBLCL | |
1407 | AMAT 0227-45784 Jenoptik Loader Signal | |
1408 | AMAT 0227-64040 MANIFOLD, 7WAY FUJIKIN 8.66L | |
1409 | AMAT 0240-14678 KIT, OPTION, ADVANCED UPS WITH ROBOT X B | |
1410 | AMAT 0240-30643 PIK, Wafer Lift, REV 1 Ceramic, 200 MM | |
1411 | AMAT 0240-32712 Kit, Temperature Controller Addition | |
1412 | AMAT 0240-33145 Retrofit Kit P5000 ZA Slit Valve, Anodized, Stock #324 | |
1413 | AMAT 0240-42863 KIT, NARROW BODY LL, NO DEDICATED ROUGH | |
1414 | AMAT 0240-53537 Kit, RF Feedthrough RFG 200mm producer | |
1415 | AMAT 0240-A6770 AutoFocus Kit Sting | |
1416 | AMAT 0242-00715 KIT, ALPS+ GRND DARK SPACE SHIELD | |
1417 |
|
|
1418 | AMAT 0242-18955 KIT, 300MM PCII PROCESS KIT | |
1419 | AMAT 0242-33245 KIT, 300MM PNEUMATIC RGA VALVES, | |
1420 | AMAT 0242-37433 KIT,RETROFIT CORR RES 200MM EMPAK CASS H | |
1421 | AMAT 0242-37454 KIT,BASIC GAS BOX,UNIVERSAL CHAMBER | |
1422 | AMAT 0242-38486 KIT HEATER LEVELING TXZ , | |
1423 | AMAT 0270-02451 ASSY, CAL PLATE, MEG P ” “ | |
1424 | AMAT 0500-00190 HELIX IS CONTROLLER 19″ PANEL | |
1425 | AMAT 0500-01036 CNTRL ELECTRONICS PKG GEN CALIBRATION AE 7″LX3.61 | |
1426 | AMAT 0620-00704 CABLE ASSY CONTROL UNIT TO PUMP 1.0M, SE | |
1427 | AMAT 0620-01270, CABLE ASSY SNSR .062-BUNDLE 72″L INTERLC | |
1428 | AMAT 0650-00024 CMPTR, FLT PNL, PIII-800 MHZ, 256 MB MEM | |
1429 | AMAT 0660-01635/01634 VME Chassis USED | |
1430 | AMAT 0660-01642 CARD VME SNGL BRD CMPTR 68040 33MHZ 8MB DRAM | |
1431 | AMAT 0820-01057 VESDA LaserCOMPACT Display Module | |
1432 | AMAT 0870-01023 MIRRA PLATEN DRIVER 200MM | |
1433 | AMAT 0920-00127 ADVANCED ENERGY 3156111-207 A APEX 1513 Applied Materials | |
1434 | AMAT 1, HEAT EXCHANGER. Stock #514 | |
1435 | AMAT 100-09002 PCB, VME SBC | |
1436 | AMAT 1037-28153 (HK-M) [Anelva Tin] Stage Shield | |
1437 | AMAT 1070-01020 IN-USA HAMAT-4 High Concentration UV Ozone Analyzer Cabinet Assy | |
1438 | AMAT 1080-00503 Motor,Yaskawa SGMCS-14C3C-YA11 Direct Driver Servo,14NM,424910N1 | |
1439 | AMAT 1120-A0150 LENS-OBJECTIVE | |
1440 | AMAT 1140-00214 PSU DECEL, 6KV, SPEC 0190-08212; GLASSMAN HIGH VOLTAGE INC MODEL | |
1441 | AMAT 1140-00351 PSU QUANTUM X POWER | |
1442 | AMAT 1140-00538 PSU G3 TO SPEC 0190-24145, Series KL, Input: 208V, 48-63Hz, 1 Ph | |
1443 | AMAT 1200-00178, RLY Assy Mapping, Interlock, 200MM FAB, 410502 | |
1444 | AMAT 1200-00178, RLY Assy Mapping, Interlock, 200MM FAB, 410503 | |
1445 | AMAT 1310037, PSB, CPU CONTROLLER 25 | |
1446 | AMAT 1350-00250 MEG LDM CHEMICAL TRANSDUCER, | |
1447 | AMAT 1410-00796 GUN HEATER JACKET | |
1448 | AMAT 1950094 “CABLE,X AXIS,TOP” | |
1449 | AMAT 20 HP BLOWER CINCINNATI FAN LM-13.5B BALDOR 39L13W459G1, 480 V 3-PHASE | |
1450 | AMAT 20 HP BLOWER CINCINNATI FAN LM-16 BALDOR 39L-13W72261, 480 V 3-PHASE | |
1451 | AMAT 200mm ASP+ Lift Assy | |
1452 | AMAT 200mm Dual Zone ALN Ceramic Heater | |
1453 | AMAT 200P-CASSETTE-ALIGNMENT-TOOL CASSETTE ALIGNMENT TOOL AMAT appear unused | |
1454 | AMAT 2020013 OBJECT3.2X.06(LEITZ 56 | |
1455 | AMAT 21016404078 SERVO POWER SUPPLY | |
1456 | AMAT 21016404386 Amplifier, 50V, Y AXIS, Modified | |
1457 | AMAT 220550586 V18 ASSY LEO | |
1458 | AMAT 300MM E-MAX SiC Shower head, 107080-508-0021, 0041-12551; | |
1459 | AMAT 300mm ENDURA II 0010-19854 – SHUTTER FEEDTHRU ASSY | |
1460 | AMAT 300mm VHP ROBOT NSK DRIVER | |
1461 | AMAT 300MM VHP+ Robot Pivot Set 0040-50657 | |
1462 | AMAT 3030-09966, LFM T3 LF-410A-EVD TEB 1.0GPM 1/4FVCR-IN | |
1463 | AMAT 30612460000 CAPU ASSY | |
1464 | AMAT 30704130200 FEEDTHROUGH LEFT | |
1465 | AMAT 3150007 SMART-TAG READER | |
1466 | AMAT 3250-01136 CNTNR WFR CARRIER 300MM 13SLOT AUTOPOD | |
1467 | AMAT 3380-00013 HE COLD TRAP WATER COOL DBL-COIL 12.00OD NKL-PLT | |
1468 | AMAT 3700-03042 ORINGS ID 14.975 CSD .210 CHEMRAZ 513, 80 DUROMETER, | |
1469 | AMAT 3700-03971 ORing Trilobe ID 5.484 CSD .139 Kalrez 8 | |
1470 | AMAT 3760-01103, Slide KR Type 46, 220mm LG W/ Custom LOCAT, THK. 419865 | |
1471 | AMAT 3760-01103, Slide KR Type 46, 220mm LG W/ Custom LOCAT, THK. 419866 | |
1472 | AMAT 3760-01103, Slide KR Type 46, 220mm LG W/ Custom LOCAT, THK. 419867 | |
1473 | AMAT 3760-01103, Slide KR Type 46, 220mm LG W/ Custom LOCAT, THK. 419868 | |
1474 | AMAT 3760-01103, Slide KR Type 46, 220mm LG W/ Custom LOCAT, THK. 419869 | |
1475 | AMAT 3760-01103, Slide KR Type 46, 220mm LG W/ Custom LOCAT, THK. 419870 | |
1476 | AMAT 3760-01103, Slide KR Type 46, 220mm LG W/ Custom LOCAT, THK. 419871 | |
1477 | AMAT 3760-01103, Slide KR Type 46, 220mm LG W/ Custom LOCAT, THK. 419872 | |
1478 | AMAT 3760-01103, Slide KR Type 46, 220mm LG W/ Custom LOCAT, THK. 419874 | |
1479 | AMAT 3760-01103, Slide KR Type 46, 220mm LG W/ Custom LOCAT, THK. 419875 | |
1480 | AMAT 3760-01103, Slide KR Type 46, 220mm LG W/ Custom LOCAT, THK. 419876 | |
1481 | AMAT 3870-02202 VALVE AIR-ACT HEATED INJCTN 1/4VCR-M/F SST | |
1482 | AMAT 3870-02345 Throttling Valve, BTFL Face Seal W/KF 40 FLMKS, 407129 | |
1483 | AMAT 3870-02345 VALVE THROTTLE BTFL FACE SEAL W/KF 40 FLANGE | |
1484 | AMAT 3870-04510 Gate Valve insert DN 160 VAT 12144-YA24-AJP1/0007 A-621893 | |
1485 | AMAT 3930-00140 Controller, Gauge, ION MDL 347 | |
1486 | AMAT 4000188 WFRACK CENTER PCB ASSY | |
1487 | AMAT 4060-00023 MANF 16STATION W/DNET 32 I/O | |
1488 | AMAT 4060-00946 SMC VV5Q11-12-X3093V MANIFOLD EV 12X VQ1200-51-X508 STATION | |
1489 | AMAT 5.4FI Ceiling Suspended Crane Monorail 250Lbs 10′ 120V Clean room crane | |
1490 | AMAT 50309050000 Electron Column Beam Gun SV300 SemVision Opal Applied Materials | |
1491 | AMAT 50409140100 Bent Light Guide-YAP, SEM Vision YAP with Optical Grease 0.5mL | |
1492 | AMAT 50412470100 Metrology SPS GENERAL ASSY, PDC Level Part | |
1493 | AMAT 50480555000 CK TN #555 MAGNETIC | |
1494 | AMAT 50490536000 CK T/N #536 FANS FOR | |
1495 | AMAT 600926 Upper Wafer Clamp Ring 200MM Rev2 – Applied Materials/LAM 600926 | |
1496 | AMAT 70311520000 Turbo Pump Controller, 100/240V, 50/60Hz, 150VA | |
1497 | AMAT 70409150000 SE MCP Assembly, MCP Number: 203, Mount Type: SE Opal 7830i | |
1498 | AMAT 78311274020 “GENCO 4 CABLE, ELCO/M-EL” | |
1499 | AMAT 9010-00729 clip actuator | |
1500 | AMAT 9010-01384ITL PNEUMATIC PANEL, GRIPPER | |
1501 | AMAT 9010-01460 LEYBOLD 800120V0002 ASSY, MAG TURBO Pump PURGE VALVE | |
1502 | AMAT 9010-01576 Maintenance Arm Assembly, SRC Turbo | |
1503 | AMAT 9010-01802 Load/Tilt Motor Assembly | |
1504 | AMAT 9010-02083ITL X-CRIPP Panel | |
1505 | AMAT 9010-02159 EXTRACTION ROTATE/SIDE MECH | |
1506 | AMAT 9090-00209ITL Gas Panel Control Assembly (No Ovens) | |
1507 | AMAT 9090-00442 Decel PSU Resistor Assembly | |
1508 | AMAT 9090-00442ITL DECEL PSU RESISTOR ASSEMBLY | |
1509 | AMAT 9090-00456 Beamline Control Rack Chassis | |
1510 | AMAT 9090-01095 FI CONTROL CHASSIS | |
1511 | AMAT 9090-01193 ASSY GAS PANEL CTRL (NO OVENS) | |
1512 | AMAT 9090-01265 DECEL PSU -6KV QUANTUM X | |
1513 | AMAT 9090-01274 VAC B/L CHAS (EDWARDS GAUGES) | |
1514 | AMAT 9090-1265ITL DECEL PSU -6KV QUANTUM X | |
1515 | AMAT 9240-00172ITL END EFFECTOR & MAPPER 300 | |
1516 | AMAT 9240-02836 EXTRACTION LIFT ASSY/LOCKNLOAD | |
1517 | AMAT 9240-05923 ROBOT MOUNTING KIT | |
1518 | AMAT 99DE0044000 PICK LIST FOR | |
1519 | AMAT 99TN0553000 “CK T/N#553 15″” MAGNETIC” | |
1520 | AMAT AFC-550 MFC, 0550E, 9708HC031071, N2, 10 SLPM, 329420 | |
1521 | AMAT ALPS NI SHIELD KIT 300MM APPLIED MATERIALS | |
1522 | AMAT AMAT ENDURA ROBOT BLADE, USED | |
1523 | AMAT APPLIED 0010-03361 MCA+ HTR ASSY, MCA’D JMF 8″ | |
1524 | AMAT APPLIED 0040-20652 APPLIED MATRIALS COMPONENTS [ASIS] | |
1525 | AMAT APPLIED 3620-01114 8031348G001 wCPRSR HELIUM 50/60HZ [ASIS] | |
1526 | AMAT APPLIED 3620-01146 (#1) wPUMP CRYO COMPRESSOR 8510 FOR [ASIS] | |
1527 | AMAT APPLIED 3620-01146 (#2) wPUMP CRYO COMPRESSOR 8510 FOR [ASIS] | |
1528 | AMAT APPLIED 3620-01146 (#3) 8031315 wPUMP CRYO COMPRESSOR [ASIS] | |
1529 | AMAT APPLIED 3620-01146 (#4) UNCLEAN 8031315 wPUMP CRYO [ASIS] | |
1530 | AMAT APPLIED 5000 PLATFORM ABB EH160 AMAT P5000 AC CABINET ABB [ASIS] | |
1531 | AMAT APPLIED 5390C CM OR AWM 2464 LL49185 CSA CMG FT4 ALPHA WIRE | |
1532 | AMAT APPLIED 6054C CM OR AWM 2464 LL49185 CSA PCC FT4 ALPHA WIRE | |
1533 | AMAT APPLIED AGA-50B2 DGP-120A2 DAIHEN GENERATOR ASIS | |
1534 | AMAT APPLIED E2M175 (#1) EDWARDS VACUUM PUMP [ASIS] | |
1535 | AMAT APPLIED E2M175 (#2) EDWARDS VACUUM PUMP [ASIS] | |
1536 | AMAT APPLIED EH2600 (#1) EDWARDS MECHANICAL BOOSTER VACUUM PUMP ASIS | |
1537 | AMAT APPLIED EH2600 (#2) EDWARDS MECHANICAL BOOSTER VACUUM PUMP ASIS | |
1538 | AMAT Applied Material 0010-04450 MCA E-Chuck Heater 200mm Refurbished | |
1539 | AMAT Applied Material 0010-04450 MCA E-Chuck Heater 200mm Refurbished | |
1540 | AMAT Applied Material 0010-27430 MCA E-Chuck Heater 300mm Refurbished | |
1541 | AMAT Applied Material 0010-27430 MCA E-Chuck Heater 300mm Refurbished | |
1542 | AMAT Applied Material Generator Rack | |
1543 | AMAT Applied Materials 0010-00135 60V Power Supply | |
1544 | AMAT Applied Materials 0010-00357 Flat Finder | |
1545 | AMAT Applied Materials 0010-00591 150mm Stretch Flat Finder ASM 4645213-0001 | |
1546 | AMAT Applied Materials 0010-04522 200mm Electrostatic Chuck 0040-08921 | |
1547 | AMAT APPLIED MATERIALS 0010-04941 MAGNET ASSEMBLY SIP-CU 300 | |
1548 | AMAT Applied Materials 0010-08322 Top Local Match 300mm Rev. 009 Used Working | |
1549 | AMAT Applied Materials 0010-08322 Top Local RF Match Rev.002 Used Working | |
1550 | AMAT Applied Materials 0010-08323 Local RF Match Rev. 007 Used Working | |
1551 | AMAT Applied Materials 0010-09181 DC Power Supply Precision 5000 Damaged Used | |
1552 | AMAT Applied Materials 0010-09181 Precision 5000 Platform DC Power Supply Used | |
1553 | AMAT Applied Materials 0010-09263 Precision 5000 Pneumatic Panel P5000 Used | |
1554 | AMAT Applied Materials 0010-09297 Precision 5000 CVD 15 Volt Power Supply Used | |
1555 | AMAT Applied Materials 0010-09318 TEOS/Dopant Ampule P5000 Used Working | |
1556 | AMAT Applied Materials 0010-09416 RF Match Phase IV | |
1557 | AMAT Applied Materials 0010-09750 CVD RF Match Used | |
1558 | AMAT Applied Materials 0010-09978 Module MFG Gold Precision 5000 P5000 Used | |
1559 | AMAT Applied Materials 0010-10328 Oxide ESC Shell 200mm | |
1560 | AMAT Applied Materials 0010-11228 300mm Magnet Assembly Surplus | |
1561 | AMAT Applied Materials 0010-11228 PVD Magnet LP-3.7.3 300mm Endura | |
1562 | AMAT Applied Materials 0010-14714 4-Port TP UPA 0100-01222 Rev. 001 Copper Used | |
1563 | AMAT Applied Materials 0010-14714 4-Port TP UPA 0100-01222 Rev. 03 Copper | |
1564 | AMAT Applied Materials 0010-15413 Universal Lid Lift Assembly Surplus | |
1565 | AMAT Applied Materials 0010-15669 DPS ESC Assembly 0200-02814 0021-06243 | |
1566 | AMAT Applied Materials 0010-16392 ESC Assy, 12” Dual Electrode | |
1567 | AMAT Applied Materials 0010-19026 STD PVD Degas Chamber Quartz Viewpoint | |
1568 | AMAT Applied Materials 0010-20331 Dual Degas Magnet Driver Working | |
1569 | AMAT Applied Materials 0010-21403 Magnet Assembly | |
1570 | AMAT APPLIED MATERIALS 0010-21465 MAGNET ASSY TI DWA SOURCE 1 DURASOURCE | |
1571 | AMAT Applied Materials 0010-21745 Endura 5500 Operator Control Panel I/O Block | |
1572 | AMAT Applied Materials 0010-21745 Endura 5500 Operator Control Panel Used | |
1573 | AMAT Applied Materials 0010-21745 Endura 5500 Operator Panel 0100-01906 As-Is | |
1574 | AMAT Applied Materials 0010-21745 Endura 5500 Operator Panel 0100-20350 As-Is | |
1575 | AMAT APPLIED MATERIALS 0010-22043 RH-3 MAGNET ASSEMBLY | |
1576 | AMAT Applied Materials 0010-22567 CPI-VCM Chamber Source 3 Missing Parts As-Is | |
1577 | AMAT Applied Materials 0010-22567 CPI-VMO Chamber Source 3 | |
1578 | AMAT Applied Materials 0010-22567 CPI-VMO Chamber Source 3 Rev 003 As-Is | |
1579 | AMAT Applied Materials 0010-22567 CPI-VMO Chamber Source 4 | |
1580 | AMAT Applied Materials 0010-22567 Source Chamber Top CPI-VMO Endura As-Is | |
1581 | AMAT Applied Materials 0010-22568 CPI-VMO Chamber Source 4 Rev 001 Endura Used | |
1582 | AMAT Applied Materials 0010-22568 Source Chamber Top CPI-VMO AMAT Endura 300mm | |
1583 | AMAT Applied Materials 0010-22569 Chamber Assembly 409909-P4-ECH2 Rev. 001 Used | |
1584 | AMAT Applied Materials 0010-22569 CPI-VMO Chamber Source D Rev. 003 As-Is | |
1585 | AMAT Applied Materials 0010-22569 Source Chamber Top CPI-VMO AMAT Endura 300mm | |
1586 | AMAT Applied Materials 0010-22911 PVD Chamber Power Controller Endura Used | |
1587 | AMAT APPLIED MATERIALS 0010-23716 PMAX PYROMETER | |
1588 | AMAT APPLIED MATERIALS 0010-24076 PEDESTAL E-CHUCK LTE-2050, 0010-24076-003 | |
1589 | AMAT Applied Materials 0010-24485 422527-P4-PROJ 300mm 32x.300 Magnet | |
1590 | AMAT Applied Materials 0010-25068 Source Chamber Top CPI-VMO AMAT Endura 300mm | |
1591 | AMAT Applied Materials 0010-25151 ESIP Encore II TAN Controller Used Working | |
1592 | AMAT Applied Materials 0010-25341 CPI-VMO Chamber Source 1 Rev. 004 As-Is | |
1593 | AMAT Applied Materials 0010-25341 CPI-VMO Chamber Source 1 Untested As-Is | |
1594 | AMAT APPLIED MATERIALS 0010-26441/0020-22150 MAGNET ASSY DURA SOURCE | |
1595 | AMAT Applied Materials 0010-27504 Source Assembly Encore 2 Cu Copper Used | |
1596 | AMAT Applied Materials 0010-29418 DSDA ASSY SLURRY Dispenser Reflexion LK | |
1597 | AMAT APPLIED MATERIALS 0010-30012 MAGNETIC DRIVE ASSY, MC ROBOT P5000 | |
1598 | AMAT APPLIED MATERIALS 0010-30686R RF MATCH, E | |
1599 | AMAT Applied Materials 0010-31159 PVD Chamber 300mm Rev. 001 Used Working | |
1600 | AMAT Applied Materials 0010-33724 High Efficiency RF Bias Match Used Working | |
1601 | AMAT Applied Materials 0010-35937 RF Match Assembly Rev. 03 | |
1602 | AMAT Applied Materials 0010-35937 RF Match Assembly Used Working | |
1603 | AMAT Applied Materials 0010-36478 150mm JMF Edge Ring Surplus | |
1604 | AMAT Applied Materials 0010-37386 Center Water Feedthru Source Surplus | |
1605 | AMAT APPLIED MATERIALS 0010-37969 HEATER ASSY 8″ AXZ PLUS | |
1606 | AMAT APPLIED MATERIALS 0010-38444 CHAMBER CATHODE ASSY 200mm SNNF ALO IPS | |
1607 | AMAT Applied Materials 0010-38755 Lower Dome Temperature Control Unit DTCU Used | |
1608 | AMAT APPLIED MATERIALS 0010-39248 OBS ASSY LID DCSXYZ MICROWAVE | |
1609 | AMAT Applied Materials 0010-42030 0010-16986 PEDESTAL DT ESC MT, ENDURA 2, 300MM | |
1610 | AMAT Applied Materials 0010-46436 OPEN IN CLASS 1000 OR BETTER | |
1611 | AMAT Applied Materials 0010-70001 8″ Cassette Handler 0223-09246 P5000 Used | |
1612 | AMAT Applied Materials 0010-70066 Heat Exchanger AMAT 0 Used Working | |
1613 | AMAT Applied Materials 0010-76001 Precision 5000 Cleanroom Storage Elevator Used | |
1614 | AMAT Applied Materials 0010-76015 Precision 5000 Cleanroom 8″ Robot Used As-Is | |
1615 | AMAT Applied Materials 0010-76036 5000 Platform Mini-Contoller P5000 MKII Used | |
1616 | AMAT Applied Materials 0010-76061 HP ENP Robot Upper/Lower Drive Set of 2 Used | |
1617 | AMAT Applied Materials 0010-96959 Precision 5000 Mainframe Pneumatic Panel P5000 | |
1618 | AMAT Applied Materials 0020-02344 Lower Shield 300mm Non-Copper “USED” | |
1619 | AMAT Applied Materials 0020-02344 Lower Shield 300mm Non-Copper “USED” | |
1620 | AMAT Applied Materials 0020-02344 Lower Shield 300mm Non-Copper Used Working | |
1621 | AMAT Applied Materials 0020-10727 REV E COVER TOP 4″ 5″ 6″ TEOS 100-150mm | |
1622 | AMAT Applied Materials 0020-26374 Clamp Middle Shield 300MM Used Working | |
1623 | AMAT APPLIED MATERIALS 0020-29214 COIL RING | |
1624 | AMAT Applied Materials 0020-32860 Precision 5000 Photohelic Power Supply Used | |
1625 | AMAT Applied Materials 0020-39137 B Ceramic Dome DPS Poly Refurbished | |
1626 | AMAT Applied Materials 0020-39137 Ceramic Dome DPS Poly Refurbished | |
1627 | AMAT Applied Materials 0020-41551 Lower Shield 300mm 2.85 LE | |
1628 | AMAT Applied Materials 0020-48185 Shield Lower 250mm Spacing 3.35 Leaf A | |
1629 | AMAT APPLIED MATERIALS 0020-60810 005 SHIELD INNER BB & TWAS KIT CLEANED 300MM | |
1630 | AMAT Applied Materials 0020-75028 Module Wafer Transfer Robot 0020-60583 Used | |
1631 | AMAT Applied Materials 0020-79039 Housing Spindle Assembly Used Working | |
1632 | AMAT Applied Materials 0020-79594 Laser Emmiter ISRM Module 0010-37866 Used | |
1633 | AMAT Applied Materials 0021-00540 DPS Upper Chamber Lid Refurbished | |
1634 | AMAT Applied Materials 0021-11382 CPI-VCM Chamber Source 3 Magnet Assembly Used | |
1635 | AMAT Applied Materials 0021-12555 Shield Upper Liftable Short Rev. 009 | |
1636 | AMAT Applied Materials 0021-12762 Lower Shield 8in BESC SIP TA 200mm Used | |
1637 | AMAT Applied Materials 0021-12763 Inner Shield 8in Endura 200mm Used Working | |
1638 | AMAT Applied Materials 0021-12764 Upper Shield 8in SIP-TA AMAT Endura 200mm | |
1639 | AMAT Applied Materials 0021-17722 Upper Shield Endura | |
1640 | AMAT Applied Materials 0021-41520 Source Chamber Top AMAT Endura 300mm | |
1641 | AMAT Applied Materials 0021-43798 Upper NI AL ARC-SPRAY Shield 300mm PVD | |
1642 | AMAT Applied Materials 0021-53986 Lower Shield | |
1643 | AMAT Applied Materials 0040-32530 IPS Upper Lamp Roof Cooling Plate Used | |
1644 | AMAT Applied Materials 0040-32543 IPS Lower Magnet Liner Refurbished | |
1645 | AMAT APPLIED MATERIALS 0040-34815 CAP INJECT EDT CLEANED | |
1646 | AMAT Applied Materials 0040-35851 Polyimide Pedestal Rev. A Surplus | |
1647 | AMAT Applied Materials 0040-36180 Rev-P8 TXZ Heater | |
1648 | AMAT Applied Materials 0040-36425 200mm Electrostatic Chuck 0021-37371 | |
1649 | AMAT Applied Materials 0040-38515 DPS-P-ESC Chuck (KAP-PS08F) | |
1650 | AMAT Applied Materials 0040-48435 300mm Upper Chamber Adapter | |
1651 | AMAT APPLIED MATERIALS 0040-48767 CATHODE BASE E-CHUCK 300mm ULTIMA X | |
1652 | AMAT Applied Materials 0040-51361 Gimbal Support Surplus | |
1653 | AMAT Applied Materials 0040-52495 300mm Bottom Chamber Adapter | |
1654 | AMAT Applied Materials 0040-61317 Aluminum Oxide Coated Bell Jar 300mm Used | |
1655 | AMAT Applied Materials 0040-61317 Aluminum Oxide Coated Quartz Bell Jar Used | |
1656 | AMAT Applied Materials 0040-61317 Quartz 300mm Bell Jar 21OD PC Spidor | |
1657 | AMAT Applied Materials 0040-61813 200mm Chamber Centura RTP Used Working | |
1658 | AMAT Applied Materials 0040-61814 200mm Chamber Centura RTP Used Working | |
1659 | AMAT Applied Materials 0040-81919 Lower Chamber DPS ME Refurbished | |
1660 | AMAT Applied Materials 0041-25083 REV 01 | |
1661 | AMAT Applied Materials 0041-30243 SHIELD OUTER PCII/RPC+ 300MM | |
1662 | AMAT APPLIED MATERIALS 0041-31335 REV. 04 SHOWER HEAD TXZ CVD 300MM | |
1663 | AMAT APPLIED MATERIALS 0041-31335 REV. 04 SHOWER HEAD TXZ CVD 300MM #2 | |
1664 | AMAT Applied Materials 0090-04736 Rev. 001 RF Filter Box Assy. Producer SE | |
1665 | AMAT Applied Materials 0090-91404 ITL Controller XR80 Implanter Used Working | |
1666 | AMAT Applied Materials 0090-91927 ITL XR80 Wafer Loader Interface Module Used | |
1667 | AMAT Applied Materials 0090-B2060 FESCD 12KV | |
1668 | AMAT APPLIED MATERIALS 0100-09285 CENTURA APC I/O DISTRIBUTION BOARD | |
1669 | AMAT APPLIED MATERIALS 0100-77001 REV P4 PCB ASSY I/O CONTROLLER | |
1670 | AMAT APPLIED MATERIALS 0100-A0009 ASAP BOARD FREE SHIP | |
1671 | AMAT APPLIED MATERIALS 0100-A0019 LASER DRIVER BOARD 0110-A0014 REV:A FREE SHIP | |
1672 | AMAT Applied Materials 0120-20067 Stepper Controller Board | |
1673 | AMAT APPLIED MATERIALS 0150-77047 CBL ASSY,PWR PLATEN CNTRL | |
1674 | AMAT Applied Materials 0190-11529 Radisys 400 MHz 128 MB RAM VME Bus Module | |
1675 | AMAT Applied Materials 0190-24298 Endura RF Cable Rev. 002 Used Working | |
1676 | AMAT APPLIED MATERIALS 0190-76006 AE POWER SUPPLY MDX-L6 | |
1677 | AMAT Applied Materials 0195-01642 LCWE Pneumatics Box Reflexion CMP Damaged | |
1678 | AMAT Applied Materials 0195-11127 H3876 | |
1679 | AMAT Applied Materials 0200-00673 8” Cover Ring Endura 200mm Used Working | |
1680 | AMAT Applied Materials 0200-00674 8” Dep Ring AMAT Endura 200mm Used Working | |
1681 | AMAT Applied Materials 0200-02955 Quartz Capture Ring Surplus | |
1682 | AMAT Applied Materials 0200-03259 Slotted Preheat Ring .15 THK 300mm EP | |
1683 | AMAT Applied Materials 0200-06355 Open Pocket Ceramic Blade Copper Exposed Used | |
1684 | AMAT Applied Materials 0200-08301 Deposition Ring 300mm BESC Ceramic | |
1685 | AMAT Applied Materials 0200-08569 Insulator Quartz 300mm PCII 2.0 Surplus | |
1686 | AMAT APPLIED MATERIALS 0200-10297 OBS DOME CERAMIC DPS CHAMBER BOC EDWARDS | |
1687 | AMAT Applied Materials 0200-10325 Ceramic Dome DPS Metal | |
1688 | AMAT Applied Materials 0200-10325-P2 Ceramic Dome Used Working | |
1689 | AMAT APPLIED MATERIALS 0200-10540 SOURCE SI RING 1002584 | |
1690 | AMAT APPLIED MATERIALS 0200-35042 Lower Dome | |
1691 | AMAT APPLIED MATERIALS 0200-36524 PLATE EDGE COVER PLATE | |
1692 | AMAT Applied Materials 0200-39137 Ceramic Dome (Y203) Coating (DPS) Used | |
1693 | AMAT Applied Materials 0221-02249 Super-e Slit Valve Door Acuator 0010-01412 | |
1694 | AMAT Applied Materials 02-351965-00 Positioning Robot Gasonics Aura 2000-LL Used | |
1695 | AMAT Applied Materials 0240-06458 Polisher Kit Reflexion CMP | |
1696 | AMAT Applied Materials 0240-30808 Pedestal Kit 200mm Surplus | |
1697 | AMAT Applied Materials 0240-61428 Quantum Leap Heat Exchanger Rev. Q2 Used | |
1698 | AMAT Applied Materials 0240-61428 Quantum Leap II Heat Exchanger Untested As-Is | |
1699 | AMAT Applied Materials 0240-91709 Single Stage Tied Regulator | |
1700 | AMAT Applied Materials 0242-88366 Kit – Slit Liner Door, Clamp Lid | |
1701 | AMAT APPLIED MATERIALS 0270-18030 Blankoff Chamber Lid HPD-CVD | |
1702 | AMAT Applied Materials 0290-09018 Heat Exchanger AMAT 0 Untested As-Is | |
1703 | AMAT APPLIED MATERIALS 0620-02770 CABLE ASSEMBLY COAX TOP RG-217/U9 | |
1704 | AMAT Applied Materials 1080-90120 Exchange Arm Motor Assembly 0021-06737 Used | |
1705 | AMAT Applied Materials 1120-01071 OPT BIFURCATED BDL SPC 210/230N BICON CONN 25′ | |
1706 | AMAT Applied Materials 200mm Wafer Stage Anorad RMW THETA-Z Orbot WF 720 Used | |
1707 | AMAT Applied Materials 300mm Wafer Transfer Arm AMAT SEMVision cX Used Working | |
1708 | AMAT Applied Materials 3096-1000 | |
1709 | AMAT Applied Materials 3096-1000 | |
1710 | AMAT Applied Materials 3700-99008 Motor Assembly XR80 Implanter Used Working | |
1711 | AMAT Applied Materials 4000431 SARNOFF TESTER 1280253 30 | |
1712 | AMAT Applied Materials 404663 300mm Source 4 CPI-VMO Chamber Copper Used Working | |
1713 | AMAT Applied Materials 4332 B CVD Configured Chamber Precision 5000 Mark II Used | |
1714 | AMAT Applied Materials 4347 A 5000 CVD Chamber 200mm Precision 5000 P5000 Used | |
1715 | AMAT Applied Materials 4347 B 5000 CVD Chamber 200mm Precision 5000 P5000 Used | |
1716 | AMAT Applied Materials 4-Port TP UPA Reflexion | |
1717 | AMAT Applied Materials 5000 CVD Configured Chamber Direct Drive A079C | |
1718 | AMAT Applied Materials 50309050000 Electron Column Beam Gun SV300 cX As-Is | |
1719 | AMAT Applied Materials 5834R A 5000/5200 CVD Chamber Precision 5000 P5000 Used | |
1720 | AMAT Applied Materials 8330 8300 6″/150mm Metal Etcher Semi Tool | |
1721 | AMAT Applied Materials 8330 8310 Chamber Bell Jar * 150mm Metal Dry Etch System | |
1722 | AMAT Applied Materials 9010-00299 ITL Load Lock Door Module 0021-07994 Used | |
1723 | AMAT Applied Materials 9010-00299 Quantum Process Module Load Lock Door Used | |
1724 | AMAT Applied Materials 9010-01181 ITL Load Lock Door Module 0021-07994 Used | |
1725 | AMAT Applied Materials 9010-01545 S2S Softdrive SAF305-045 Used Working | |
1726 | AMAT Applied Materials 9010-02158 xR80 MRS Assembly Quantum X | |
1727 | AMAT Applied Materials 9090-00097 ITL Implant Gap Servo Controller XR80 Used | |
1728 | AMAT Applied Materials 9090-00098 Controller XR80 Implanter Used Working | |
1729 | AMAT Applied Materials 9090-00098 ITL XR80 Implanter Controller Used Working | |
1730 | AMAT Applied Materials 9090-00442 Decel PSU Resistor 9010-01409ITL Rev. C Used | |
1731 | AMAT Applied Materials 9090-00442 Decel PSU Resistor 9010-01409ITL Rev. D As-Is | |
1732 | AMAT Applied Materials 9090-00442 Decel PSU Resistor 9010-01409ITL Rev. D Used | |
1733 | AMAT Applied Materials 9090-00442 Decel PSU Resistor Chassis 9010-01409ITL Used | |
1734 | AMAT Applied Materials 9090-00442 PSU Resistor 9010-01409ITL Incomplete Used | |
1735 | AMAT Applied Materials 9090-00732ITL Edwards Ion Gauge Module Used Working | |
1736 | AMAT Applied Materials 9090-00785ITL Electrode Assembly Quantum Leap II Used | |
1737 | AMAT Applied Materials 9090-00791 ITL 29Z Power Supply Assembly Used Working | |
1738 | AMAT Applied Materials 9090-00923 Processor Vacuum Control Rev. B Quantum X Used | |
1739 | AMAT Applied Materials 9090-01095 Controller Chasis Rev. A Used Working | |
1740 | AMAT Applied Materials 9090-01162 Energy Control Chassis PXP41L Used Working | |
1741 | AMAT Applied Materials 9091-00639 Electrostatic Chuck DC Power Supply Used | |
1742 | AMAT Applied Materials 9240-04939 Control Chassis Rev A Quantum X PRA Used | |
1743 | AMAT Applied Materials 9240-06990 300mm DLC A1 E-Chuck Retrofit Kit | |
1744 | AMAT Applied Materials AHV1EX200P010BF Resistor Bank VSEA# E19287210 Rev-B | |
1745 | AMAT Applied Materials Al203 Coated Upper Chamber (R) DPS Poly Refurbished | |
1746 | AMAT Applied Materials AM9090-00923ITL Processor Vacuum Control Chassis Used | |
1747 | AMAT Applied Materials AMAT Process Etch Chamber Precision 5000 Mark II | |
1748 | AMAT APPLIED MATERIALS AMAT-0190-00318U GEM/VGA VIDEO CONTROLLER PCB ASSY | |
1749 | AMAT Applied Materials ASSY 100238 Pipe tube | |
1750 | AMAT Applied Materials Assy. WSi 8″ Gas Box 0010-09520 | |
1751 | AMAT Applied Materials Chamber 3 Source Good Condition Untested As-Is | |
1752 | AMAT Applied Materials Chamber 4 Source Used Untested As-Is | |
1753 | AMAT APPLIED MATERIALS CHAMBER KIT #0090 | |
1754 | AMAT APPLIED MATERIALS CHAMBER KIT CLEANED 300MM | |
1755 | AMAT APPLIED MATERIALS CHAMBER KIT CSB SIP AFT | |
1756 | AMAT APPLIED MATERIALS CHAMBER KIT XDK SIP TTN Arc-Spray (dcoat) #0191 | |
1757 | AMAT Applied Materials Column and Cable Set SemVision cX 300mm Used Working | |
1758 | AMAT APPLIED MATERIALS CSB SIP AFT CHAMBER KIT CLEANED 300MM | |
1759 | AMAT APPLIED MATERIALS D13450 REV D ETO MICROWAVE CONTROLLER 0190-18149 | |
1760 | AMAT Applied Materials DTCU Dome Temperature Control Unit Fan Module Assembly | |
1761 | AMAT Applied Materials Edwards IPUP E100L Dry Vacuum Pump A535-72-945 +D37272800 | |
1762 | AMAT Applied Materials Hexode Assembly 8310 CVD 100mm Wafer Used Working | |
1763 | AMAT Applied Materials ICT 50309050000 SEM Electron Column SV300 LT Used | |
1764 | AMAT APPLIED MATERIALS KIT TI PVD SPU CLEANED | |
1765 | AMAT Applied Materials Load Lock Internal Transfer Unit SemVision cX 300mm Used | |
1766 | AMAT Applied Materials M8-0609-04 Upper Chamber | |
1767 | AMAT Applied Materials MAGNET ASSEMBLY 0010-20806 REV PG, G-TYPE | |
1768 | AMAT Applied Materials MCM CRIO Main Assy 0100-A2981 dsIT 0100-A2991 164-00-02 | |
1769 | AMAT Applied Materials P-5000 150 MM, Magnetically Enhanced RIE ETCH Chamber | |
1770 | AMAT Applied Materials PC Board-Video/Serial 2/3 Monitors0100-38092,0015-00452 | |
1771 | AMAT Applied Materials Pick and Place Robot ITU Assembly VeraSEM Used Working | |
1772 | AMAT Applied Materials Plasma Stir Stirring Magnet Assembly | |
1773 | AMAT APPLIED MATERIALS PM CHM TXZ TAD CLEANED 300MM | |
1774 | AMAT Applied Materials Precision 5000 Mark II P5000 Bezel and Front Panels Used | |
1775 | AMAT Applied Materials Precision 5000 Nitride PARC PECVD System P5000 | |
1776 | AMAT Applied Materials Producer Aluminum heater / P/N:0010-33992 | |
1777 | AMAT Applied Materials PVD Chamber Source 3 Used Untested As-Is | |
1778 | AMAT Applied Materials Quantum Leap 2 Ion Implanter Wheel Used Working | |
1779 | AMAT Applied Materials Quantum Leap 3 Process Module Wheel Used Working | |
1780 | AMAT Applied Materials Quantum Leap III Process Module Wheel Used Working | |
1781 | AMAT Applied Materials RadiSys 486 0190-00318 | |
1782 | AMAT Applied Materials RHS-20-50-CC-SP Wafer Transfer Drive SEMVision cX Used | |
1783 | AMAT Applied Materials SBC Control Assembly VM1C VM1VME-7588-787 VeraSEM Used | |
1784 | AMAT Applied Materials SCR POWER CONTROLLER 3096-2002 AMAT P/N 0190-14928 | |
1785 | AMAT Applied Materials Service Lift Kit 0242-29971 0270-03297 0270-03549/03297 | |
1786 | AMAT Applied Materials SMG Mainframe Wafer Robot 4″, 5″ 0010-35990R | |
1787 | AMAT Applied Materials Storage Elevator 0010-76001 Precision 5000 Mark II | |
1788 | AMAT Applied Materials Susceptor 12″ 200mm * 0020-49269 Rev.003 | |
1789 | AMAT Applied Materials Synergy V452 SBC PCB 0090-76133 0090-03467 | |
1790 | AMAT Applied Materials TEOS Closed Loop Ampule Assy * 0010-09328 * ADCS | |
1791 | AMAT Applied Materials Universal Lid Lift 300MM | |
1792 | AMAT Applied Materials Vent Pump Rack Gas Cabinet * for AMAT Producer GT Unit# 2 | |
1793 | AMAT Applied Materials Wafer Stage Assembly Orbot Instruments WF 736 DUO Used | |
1794 | AMAT Applied Materials, 0021-54948; Shield Outer SIP Encore TA(N) Coil , | |
1795 | AMAT Applied Materials, 0190-77349, Transducer Plate, 300MM | |
1796 | AMAT Applied Materials, ADAPTER SOURCE 13 W/B CLEAN, p/n 0040-22023 | |
1797 | AMAT Applied Materials, ADAPTER SOURCE 13″ CLEAN | |
1798 | AMAT Applied Materials, ASSY P-CHUCK LIFT, p/n 0010-30029 | |
1799 | AMAT Applied Materials, CONDUCTANCE TUBE, RPSC, ULTIMA, p/n 0020-18541 | |
1800 | AMAT Applied Materials, CVD WAFER LIFT, p/n 0010-09341 | |
1801 | AMAT Applied Materials, Desica DC Supply 0090-05777, DC Distribution Box ( ) | |
1802 | AMAT Applied Materials, Edwards Ion Gauge Module, p/n 9090-00732 ITL | |
1803 | AMAT Applied Materials, IMR RF MATCH ETCH, p/n 0010-09416 | |
1804 | AMAT Applied Materials, LOWER SHIELD;0021-53267 MONOLITHI ( ) | |
1805 | AMAT Applied Materials, MAGNET DRIVE ASSY, p/n 0190-70060 | |
1806 | AMAT Applied Materials, PC Board – Video/Serial for 2/3 Monitors, 0100-38092 | |
1807 | AMAT Applied Materials, PC Board, VGA VIDEO CONTROLLER, p/n 0190-00318 | |
1808 | AMAT Applied Materials, PC2 Cu Bell Jar, p/n 0368-10000, Cleaned | |
1809 | AMAT Applied Materials, UNION COOLING WATER ROTARY, p/n 0760-01015 | |
1810 | AMAT APPLIED NPG-3KG NPP RF GENERATOR ASIS | |
1811 | AMAT APPLIED NPG-8000H (VER 03) NPP RF GENERATOR ASIS | |
1812 | AMAT APPLIED NPG-8000H (VER 04) NPP RF GENERATOR ASIS | |
1813 | AMAT APPLIED NPG-8000H (VER 05) NPP RF GENERATOR ASIS | |
1814 | AMAT APPLIED NPG-8000H (VER 06) NPP RF GENERATOR ASIS | |
1815 | AMAT APPLIED NPG-8000H (VER Z0) NPP RF GENERATOR ASIS | |
1816 | AMAT APPLIED NPG-8000H NPP RF GENERATOR ASIS | |
1817 | AMAT APPLIED SMART 20.10 SEVEN OCEAN VIDEO METER [ASIS] | |
1818 | AMAT APPLIED TC THHN OR THWN 12 AWG 6/C CORS SUNLIGHT RESISTANT | |
1819 | AMAT APPLIED WGA-50E DAIHEN GENERATOR ASIS | |
1820 | AMAT ASSY, INNER, ROTATION UPPER and LOWER BEARING, 0020-39360 and 0020-39361 | |
1821 | AMAT ASSY, ROTATION UPPER AND LOWER BEARING 0020-39360 , 0020-39361 | |
1822 | AMAT ASSY, VALVE W/INT DRIVE, 200MM PRODUCER | |
1823 | AMAT ATOC-3262WG/SC/R TE 0195-11147 APPLIED MATERIAL COMPASS 300 LASER INSPEC | |
1824 | AMAT CDSEM 70202300000 Internal Gate Valve for SEMVision Cx | |
1825 | AMAT Centura 2 chamber tray full set | |
1826 | AMAT CENTURA 5200 CHAMBE CVD AXZ DXZ TXZ 0290-35825 0010-30689 [ASIS] | |
1827 | AMAT CENTURA AP ETCH 300MM E5 FEOL CHAMBER | |
1828 | AMAT Centura Epi 300mm Gold reflector / 0021-46745 | |
1829 | AMAT Centura Monochromator End Point | |
1830 | AMAT CENTURA WSI | |
1831 | AMAT C-II DC POWER SUPPLY(SP664) 0190-03358 | |
1832 | AMAT CVD RF MATCH 0010-09750W TESTED WORKING | |
1833 | AMAT DC POWER SUPPLY (KEPCO RBX24-25K & RAX15-20K, 0020-40130, 0150-40072) | |
1834 | AMAT DETECTOR CRYO COOL THERMO-NORAN T2108-01-01-14 CRYOTIGER COLD END D-6432R | |
1835 | AMAT DPS Chamber Body P/N 0021-01421, Stock #400 | |
1836 | AMAT DPS METAL E DTCU | |
1837 | AMAT DPS Poly Dome 0010-38754 | |
1838 | AMAT DSPGD100;MFC DSPGD100 SF6 RANGE50 | |
1839 | AMAT E15TACOIL-NU3, COIL TA E15TACOIL-NU3 | |
1840 | Amat EMAG 4 channer Applied Materials Amat Emag Power System kollmorgen Mag05-2 | |
1841 | AMAT ENDURA 0010-93146. ASSY, POWER SUPPLY 15V | |
1842 | AMAT Endura 11.3 source assembly, Magnet: 0010-20224, VEXTA motor: K0043-M | |
1843 | AMAT ENDURA 24V POWER SUPPLY ASSEMBLY 0010-20211 REV F | |
1844 | AMAT Endura 5500 | |
1845 | AMAT Endura 5500 TxZ TDMAT Liquid Cabinet | |
1846 | AMAT ENDURA 8″ Preclean PIK2 Kit, Bell Jar, Shield, Quartz PT-0043-0105 Pentagon | |
1847 | AMAT ENDURA CRYO PUMP CTI-CRYOGENICS ON-BOARD 8F 3P | |
1848 | AMAT Endura E5500 PVD VAT Gate Valve | |
1849 | AMAT ENDURA II HY-11 MAGNET 300MM DS-TTN 0010-25739 | |
1850 | AMAT Endura TARGET 200MM TNTLM 4N5 YES 18.885KG, Honeywell p/n 092977 | |
1851 | AMAT ENDURA TXZ | |
1852 | AMAT EPD CONTROLLER , Monochromator x2 | |
1853 | AMAT EyeD OES Stand-Alone Endpoint System – Verity SD1024DL Spectrometer | |
1854 | AMAT FI CONTROLLER Applied Materials FACTORY INTERFACE DIGITAL I/O 0190-22543 | |
1855 | AMAT HDP CVD Astex D13449 Magnetron Head ETO, D13604 waveguide, C13477 isolator | |
1856 | AMAT HDPCVD Astex D13449 Microwave magnetron, D13604 waveguide, C13477 isolator | |
1857 | AMAT HX75 HX+75W RECIRCULATION CHILLER [ASIS] | |
1858 | AMAT Implanter 0090-91694 PCB Assy | |
1859 | AMAT Leveller 58-GD-B1289-A, 58-GD-B1284-A w/Starrett 81-281 | |
1860 | AMAT LOAD CUP ASSY, p/n 0010-77157, Used, as is | |
1861 | Amat Magnet Assembly 0010-20223 C,11.3″ TiN w/Rem Assy ‘M’ Made by Magic PVD CVD | |
1862 | AMAT MAGNET Assy 0010-34618 0010-34624 (0021-26855)(0021-33260) | |
1863 | AMAT Magnet Drive Assy, p/n 0190-70060 | |
1864 | AMAT MCA Heater | |
1865 | AMAT MCVD 300mm throttle valve 0010-03070 | |
1866 | AMAT MFC | |
1867 | AMAT MIRRA HEAD CMP APPLIED MATERIALS | |
1868 | AMAT MIRRA MAC112C-0-ED-1-B/130-A-0/I00625/S005 INDRAMAT SERVO MOTOR | |
1869 | AMAT MIRRA MAC112C-0-ED-4-C/130-A-0/W1524LV/S005 INDRAMAT SERVO MOTOR | |
1870 | AMAT MIRRA MAC112C-0-ED-4-C/130-A-0/W1524LV/S005 INDRAMAT SERVO MOTOR | |
1871 | AMAT MIRRA TITAN PROFILER 8″ HEADS 0010-24500 CMP Titan Profiler Polisher Head | |
1872 | AMAT monochromator | |
1873 | AMAT NICKEL COATING NARROW LOAD LOCK A,B SET ASSY | |
1874 | AMAT P/N 0010-02657 ASSY, COOLDOWN CHBR, NON-ENP, MCE | |
1875 | AMAT P/N 0010-09940 ASSY 8″ GAS BOX WSI | |
1876 | AMAT P/N 0010-13878 AASY, PCIIE RF MATCH | |
1877 | AMAT P/N 0010-16690 CT HV Module Assy. 5KV, Stock #133 | |
1878 | AMAT P/N 0010-20300 ASSY LIFT PVD | |
1879 | AMAT P/N 0020-23195 PRECLEAN II, EXTERNAL CHAMBER | |
1880 | AMAT P/N 0020-23249 CHAMBER, EXT P/C | |
1881 | AMAT P/N 0020-27773 PUMPING PLATE, 200MM W/SKIRT, LPCVD XGEN | |
1882 | AMAT P/N 0021-36743 CHAMBER LOWER DPS POLY | |
1883 | AMAT P/N 0040-03661/ 0040-03662 VHP Robot Arm Set 300MM | |
1884 | AMAT P/N 0040-20010 STANDARD BODY PVD PROCESS | |
1885 | AMAT P/N 0040-32680 PLATE,CLAMP LID | |
1886 | AMAT P/N 0040-39619 LID CLAMP SLIT VALVE DOOR 200MM CENTURA | |
1887 | AMAT P/N 0050-34815 Gas Panel Valve Manifold, Centura. Stock #193 | |
1888 | AMAT P/N 0090-75015 PCBA ASSY,SBC SYNERGY 68040 CONTROLLER | |
1889 | AMAT P/N 0100-01536 PCB, ASSEMBLY, MAIN AC INTERCONNECT, ECP | |
1890 | AMAT P/N 0100-35107 PCB ASSY, GAS PANEL DISTRIBUTION, METCH | |
1891 | AMAT P/N 0190-70060 MAGNET DRIVE ASSY | |
1892 | AMAT P/N 9010-01612 BEAMSTOP ASSY QX | |
1893 | AMAT P/N.: 0010-00889 ASSY LIFTER DEGAS/ ORIENTER w/ TC | |
1894 | AMAT P5000 6-5″ P-CHUCK 0190-09544 | |
1895 | AMAT P5000 Ampoule Bubbler TEPO ATMI C7532, CVD Chamber Look very clean | |
1896 | AMAT P5000 CLEANROOM 8″ ROBOT FULL REBUILD + MOTORS 0020-7657-C-CDSL | |
1897 | AMAT P5000 CVD | |
1898 | AMAT P5000 MarK II MXP POLY | |
1899 | AMAT P5000 MxP+ Metal System | |
1900 | AMAT PN: 0020-26383 SOUP BOWL DUAL Robot (no bearing/no magnet) | |
1901 | AMAT RF Match 3155031-023 A , SN 256798 , PN 0190-01720 | |
1902 | AMAT RF MATCH ASSY 6 INCH , ENDURA , PVD , SEE DETAIL | |
1903 | AMAT ROBOT BLADE 8 CENTURA WXZ 0020-42290 | |
1904 | AMAT RPECISION 5000 CHAMBER ASP PROCESS 0190-09769 0190-09246 [ASIS] | |
1905 | AMAT RPECISION 5000 CHAMBER ASP PROCESS FI20031 [ASIS] | |
1906 | AMAT RPECISION 5000 CHAMBER CVD PROCESS [ASIS] | |
1907 | AMAT SEMI TOOL 610T0348-501R | |
1908 | AMAT Semitool Electroplating Controller 900C8352-1 Rev B | |
1909 | AMAT Serial Video Distribution BD Assy. P/n 0100-76083, #123 | |
1910 | AMAT SET-805-753KR-Q AMAT ENDURA Process KIT, 8″ PIK2 CERAMICOAT Includes 0040-2 | |
1911 | AMAT Shower head, SiC Top electrode 12′ 300MM’, 4728; 0041-61511, | |
1912 | AMAT SIDE Match Assy 300mm ULTIMA 0010-23685 | |
1913 | AMAT SLIT VALVE ASSY 0010-20064 , 0010-20021 | |
1914 | AMAT SMG P5000 CVD SUSCEPTOR LIFT | |
1915 | AMAT SORENSEN DCS40-25M37 ASSY, POWER SUPPLY, 0-40 Volts, 0-25 Amps | |
1916 | AMAT Spectra-Physics LASER W F.O CABLE, 0129-9535-23 USED | |
1917 | AMAT Stepper Controller BD Assy. P/n 0100-00003. #177 | |
1918 | AMAT ULTIMA CVD ETO 13.56MHz Solid Status Driver,0190-18146,ABX-X490 | |
1919 | AMAT ULTIMA CVD ETO 2.0MHz Solid Status Driver,0190-01292/0190-18184,ABX-X279 | |
1920 | AMAT ULTIMA CVD ETO System Control BD. 0190-02977/0190-18135,ABX-X355 | |
1921 | AMAT VHP 300 mm Robot ASSY, Full refurbished | |
1922 | AMAT VHP 300 mm Robot, Cooling Type, Tested Working | |
1923 | AMAT VHP 300MM Robot Arm Set , P/N 0040-03661/ 0040-03662 | |
1924 | AMAT VHP 300mm Robot ASSY, Full refurbished, include Arm set (PIVOT SET + BLADE) | |
1925 | AMAT VHP 300MM Robot Pivot Set , P/N 0040-50657 Comparable | |
1926 | AMAT VHP Robot 300mm Corrosion Resistant 0021-19038 tested, excellent condition | |
1927 | AMAT VHP ROBOT 300mm Producer Arm except blade | |
1928 | AMAT VHP ROBOT ARM (Arm Upper 0020- 25769 and ArLower 0020-25770, except blade) | |
1929 | AMAT VHP Robot Assy Full Refurbished include Arm set+ Driver+ Cable | |
1930 | AMAT VHP ROBOT Single arm (except blade) 200mm | |
1931 | AMAT VHP ROBOT Wrist Pivot Ass’y Belt type 200mm | |
1932 | AMAT VHP+ Robot Full Set: ARM SET+Chamber Lid+2NSK DRIVER+PCB+CABLE, Working | |
1933 | AMAT Wafer Heater Lift Assembly Producer SE 0040-44822,0040-44820,0041-01669 | |
1934 | AMAT Wide Body LoadLock | |
1935 | AMAT XDK ALPS AL KIT 300MM APPLIED MATERIALS CLEANED | |
1936 | AMAT# 0010-01412 Etch, Actuator Assy Slit Liner Door | |
1937 | AMAT# 0190-76043 Synergy Microsystems V440 SBC PCB, 68040 CONTROLLER | |
1938 | AMAT# 0200-00295 Base Ring IPS Silicon Source, 30 Gas Holes .243 Thick | |
1939 | AMAT# 0200-35956 Dome, Ceramic, 2.75 Dia Window, DPS Chamber | |
1940 | AMAT, 0040-37359, HEATER CERAMIC 200MM TI-XZ | |
1941 | AMAT, 0190-21050, RF Resonator Assy PC II, OEM original | |
1942 | AMAT, ACTUATOR, ETCH, LIFT W/FLG, p/n 0225-34951 | |
1943 | AMAT, Applied Materials PROCESS KIT, ADH TXZ UP CPS LOOP (w/o heater), Cleaned | |
1944 | AMAT, Applied Materials, 0010-00974, DPS, AIR CYLINDER, WAFER LIFT ASSY | |
1945 | AMAT, Applied Materials, 0010-03349 (0010-30421) | |
1946 | AMAT, Applied Materials, 0010-06598, Ceramic Heater | |
1947 | AMAT, Applied Materials, 0010-13263, 0010-13272, HP+ Upgrade Upper/Lower Robot | |
1948 | AMAT, Applied Materials, 0010-18129,Top match, Ultima HDP CVD | |
1949 | AMAT, Applied Materials, 0010-20248, slit valve assay | |
1950 | AMAT, Applied Materials, 0010-21676 PVD Endura IMP vectra Magnet | |
1951 | AMAT, Applied Materials, 0010-36408, RF match DPS | |
1952 | AMAT, Applied Materials, 0010-36417 / ASSY,HEATER TXZ,200MM,SNNF | |
1953 | AMAT, Applied Materials, 0010-76149, HTHU Heater 6″ USED | |
1954 | AMAT, Applied Materials, 0020-29202 CLAMP RING, 6″ SMF DURA TTN -SST 104 Cleaned | |
1955 | AMAT, Applied Materials, 0020-37714, TOOL,LEAK CHECK KIT ,RTP CHAMBER | |
1956 | AMAT, Applied Materials, 0021-09550, CHASSIS,PLATE, THROTTLE,5 PHASE, DPS | |
1957 | AMAT, Applied Materials, 0021-09627, MOUNTING RING, DOME, DPS POLY | |
1958 | AMAT, Applied Materials, 0040-32205, Cathode Base 150mm | |
1959 | AMAT, Applied Materials, 0040-41776, Face Plate 300mm, Producer TEOS | |
1960 | AMAT, Applied Materials, 0090-09077,SENSOR, PRESSURE (VCR), H2O VDSII | |
1961 | AMAT, Applied Materials, 0090-76133, PCB SBC Board, Synergy V452 | |
1962 | AMAT, Applied Materials, 0100-01985, Enhanced OTF Center Finder PCB | |
1963 | AMAT, Applied Materials, 0100-35024, ASSY PCB CENTERFINDER SBC | |
1964 | AMAT, Applied Materials, 0100-35564, PCB ASSEMBLY, LAMP FAILURE, DETECTOR, XE | |
1965 | AMAT, Applied Materials, 0190-01371, Bias match AE, Ultima HDP CVD | |
1966 | AMAT, Applied Materials, 0190-35961, OBS CALIBRATED OPTICAL SENSOR/CABLE | |
1967 | AMAT, Applied Materials, 0200-00968, Isolator, FSG TEOS producer 200mm | |
1968 | AMAT, Applied Materials, 0200-36118, EDGE RING, 200MM WAFER, BATCH COATED | |
1969 | AMAT, Applied Materials, 0200-36120, ADAPTER RING, 150 MM WAFER, SI BATCH COA | |
1970 | AMAT, Applied Materials, AE 3155031-014, 0190-11225, Match Bias, Ultima HDP | |
1971 | AMAT, Applied Materials, AE Sekidenko 2000 Optical Fiber Thermometer, 0190-00220 | |
1972 | AMAT, Applied Materials, Assembly Bearing 6″ & 8″ HEWEB, | |
1973 | AMAT, Applied Materials, Centura 5200, CVD chamber 6″ config | |
1974 | AMAT, Applied Materials, HDP CVD Ultima Ground Shield | |
1975 | AMAT, Applied Materials, HDP CVD Ultima lid | |
1976 | AMAT, Applied Materials, P5000 Process Kit_TR Open | |
1977 | AMAT, Applied Materials, Producer 0200-39289 Isolator TEOS pumping ring 200mm | |
1978 | AMAT, Applied Materials, PVD Endura 0010-21246 A101 heater | |
1979 | AMAT, Applied Materials, PVD Endura Heater, 0010-17665 | |
1980 | AMAT, Applied Materials, SERIPLEX BUS MUXADIO MUXADIO210 0090-00475, 0100-00294 | |
1981 | AMAT, Applied Materials, WATER DISTRIBUTION ASSY, p/n 0040-95725 | |
1982 | AMAT, Applied Materials,0010-30577,ASSEMBLY BEARING,6″ & 8″ HEWEB | |
1983 | AMAT, Applied Materials,0100-00645, 0100-01097, PCB slit valve inter | |
1984 | AMAT, Applied Materials,0242-47287, 0242-10560, 0040-06360, Tempmatch tool | |
1985 | AMAT, BEAMLINE VACUUM CONTROL PANEL, p/n 0090-91621 ITL | |
1986 | AMAT, Cambridge Fluid Systems GAS PANEL, p/n 0020-99377 | |
1987 | AMAT, CASSETTE LOADER 200mm Lift and Rotate Cassette Trays, XR80, p/n 0010-92132 | |
1988 | AMAT, CU TARGET, ALLOY A LOW BUMP 12.764″ X 0.46″, Nippon p/n FNQ-CAC-000212D | |
1989 | AMAT, KIT, P5000 ROBOT DRIVE 8″, p/n 0242-70220 | |
1990 | AMAT, LAMBDA EMI, ESS POWER SUPPLY, 00481528 Rev P | |
1991 | AMAT, MK-11 ZA SV ACTUATOR KIT, P5000 ZA MK-II SLIT VALVE, p/n 0190-02855 | |
1992 | AMAT, PUMPING PLATE 13 HOLES, AMP, p/n 0020-30059 | |
1993 | AMAT, TITAN HEAD WITH RETAINING RING, p/n 0010-33700, Used | |
1994 | AMAT, XR80 Implanter Power Supply with rack, p/n 0240-96153 | |
1995 | AMAT,Applied Materials, 0010-00957 Orienter Laser | |
1996 | AMAT,Applied Materials, 0010-03087, TTW Mounting Assy Monitor | |
1997 | AMAT,Applied Materials, 0010-76136, PVD HTHU wafter lift | |
1998 | AMAT,Applied Materials,0040-33998, 0021-09104,0021-06092, 0200-00410, 0200-76068 | |
1999 | AMAT/Applied Materials 0041-26723 Rev. 02 Bonded Assembly CESC 300mm ESC | |
2000 | AMAT/Applied materials 0270-03297 REV:002 | |
2001 | AMAT-0021-10154-Z AXIS COOLDOWN CHAMBER- | |
2002 | AMAT-0040-07101-WELDMENT, SHORT FEED THRU, GAS- | |
2003 | AMAT0100-76042, Applied Materials, ASSY, PCB EWOB AND OTF/CENTERFINDER | |
2004 | AMAT-0190-17208-VACUUM HANDLER ASSY.- | |
2005 | AMAT-APPLIED-MATERIALS-9090-00397-ITL HI TEK POWER-SERIES-1000 | |
2006 | Anorad DR-300 X Y R Axis Controller AMAT Applied Materials SemVision Used | |
2007 | APEX 3513 A3M3K000EA120B001A RF generator, sn310069 416069 | |
2008 | APEX 3513 A3M3K000EA120B001A RF generator, sn39527 416071 | |
2009 | APEX 3513 RFgenerator Power supply A3M3K000EA120B001A, S3106 | |
2010 | APEX 3513 RFgenerator Power supply A3M3K000EA120B001A, S9530 | |
2011 | Applied AMAT 300mm ESC Chuck 0010-33590 0041-08142 0041-08143 11168200-437-0002 | |
2012 | Applied Material 300mm AMAT ESC Assy 0010-33416 rev 5 | |
2013 | APPLIED MATERIAL AMAT D090-55117 0090-55117 | |
2014 | APPLIED MATERIAL AMAT OPERATING COMPUTER 0190-30233 EYED TOWER, 3.4GHZ ROHS | |
2015 | Applied Material CMP Polishing Head، Carrier 6″ | |
2016 | APPLIED MATERIAL Slit Valve Pneumatic Cylinder AMAT 0010-25625 | |
2017 | APPLIED MATERIAL SMC REGULATOR ASSY AMAT CMP TRIPLE TREE (PARTS) 0020-16859 | |
2018 | Applied Material VHP ROBOT HUB 0010-22938 / 0040-75989 AMAT | |
2019 | Applied Materials (AMAT) 0010-01368 COVER, LID PLTE ASSY, SACVD, DXZ, FLUORI | |
2020 | Applied Materials (AMAT) 0010-01388 LTESG Control Box Assy | |
2021 | Applied Materials (AMAT) 0010-02029 ASSY, HTD ROUGH LINE DPS, SEIKO 1000 | |
2022 | Applied Materials (AMAT) 0010-03347M Heater, assy 6′ SHT .025 AMJ WXZ | |
2023 | Applied Materials (AMAT) 0010-03661 6′ susceptor Refurbish | |
2024 | Applied Materials (AMAT) 0010-03661 6′ susceptor Refurbished P5000 | |
2025 | Applied Materials (AMAT) 0010-04301 ASSY, MANIFOLD, 300MM, EMAX | |
2026 | Applied Materials (AMAT) 0010-05257 DxZ Heater, High Temp | |
2027 | Applied Materials (AMAT) 0010-07316 ASSY, CASSETTE HANDLER, W/ TILTOUT, 200M | |
2028 | Applied Materials (AMAT) 0010-08580 ASSY, DIW INLET W/O CDA RGLTR, 200MM MESA | |
2029 | Applied Materials (AMAT) 0010-09116 ASSY HEAD 6″ NITRIDE/OXIDE | |
2030 | Applied Materials (AMAT) 0010-09337 AMAT 0010-09337, 200 MM Lamp Module, IMF, AS | |
2031 | Applied Materials (AMAT) 0010-09463 SUSCEPTOR ASSY TEOS 200MM | |
2032 | Applied Materials (AMAT) 0010-09490 ASSY RF MATCH BW ETCHBACK | |
2033 | Applied Materials (AMAT) 0010-09616 Susceptor Universal 150mm | |
2034 | Applied Materials (AMAT) 0010-09935 MONOCHRO MODUL ASSY ENDPOINT | |
2035 | Applied Materials (AMAT) 0010-10036 ASSY SUSCEPTOR BB Teos 200mm Screwless | |
2036 | Applied Materials (AMAT) 0010-10200 ASSY, PEDESTAL ESC 200MM 1 FLT WEB | |
2037 | Applied Materials (AMAT) 0010-10202 PEDESTAL ESC ASSY,200MM NOTCH WEB | |
2038 | Applied Materials (AMAT) 0010-10204 Pedestal esc 6′ ? Refurbisher | |
2039 | Applied Materials (AMAT) 0010-10521 ASSY, SABPSG 8″ T1SABB THICK SUSCEPTOR | |
2040 | Applied Materials (AMAT) 0010-10612 ASSEMBLY,LID, KALREZ 2037 | |
2041 | Applied Materials (AMAT) 0010-10868 ASSY, ADAPTER VECTRA IMP 300MM W/ INSERTS | |
2042 | Applied Materials (AMAT) 0010-12123 ASSY PLC CONTROLLER 200MM WALD CH. A OR | |
2043 | Applied Materials (AMAT) 0010-13873 ASSY PCIIE cathode | |
2044 | Applied Materials (AMAT) 0010-20278 CHAMBER ASSY 8″ DEGAS/ ORIENTER | |
2045 | Applied Materials (AMAT) 0010-20524 MODIFIED 8″ P5000 RF MATCH PHASE IV | |
2046 | Applied Materials (AMAT) 0010-21958 ASSY, SHIELD 8″ B101 ELECTRA-IMP TAN | |
2047 | Applied Materials (AMAT) 0010-22303 ASSEMBLY GAS MODULE 200MM TXZ | |
2048 | Applied Materials (AMAT) 0010-30425 Heater Assembly | |
2049 | Applied Materials (AMAT) 0010-36760 ASSY,PED ESC,150MM, 1 FLT WEB (FLAT @ TU | |
2050 | Applied Materials (AMAT) 0010-38107 COVER, METAL, DXZ DCVD, ASSEMBLY | |
2051 | Applied Materials (AMAT) 0010-39201 ASSY, CONTROLLER VIDEO/EMO BULKHEAD | |
2052 | Applied Materials (AMAT) 0010-39339 200MM SWLL LLB SINGLE SLOT WAFER LIFT HO | |
2053 | Applied Materials (AMAT) 0010-39903 CATHODE ASSY, ESC, 200MM, SNNF, AIO, IPS, FL | |
2054 | Applied Materials (AMAT) 0010-40256 LIFT ACTUATOR ASSY, E-CHUCK | |
2055 | Applied Materials (AMAT) 0010-40296 ANTENNA-DOME ASSY,DOS,MARK IV,CHAMBER | |
2056 | Applied Materials (AMAT) 0010-40298 ANTENNA-DOME,SUB-ASSY,DOS,MARK IV,CHAMBE | |
2057 | Applied Materials (AMAT) 0010-70166 ASSY, 15 SLOT STORAGE ELEVATOR | |
2058 | Applied Materials (AMAT) 0010-70252 HEATER ASSY–6″ VCR W/2TC’S 5500 | |
2059 | Applied Materials (AMAT) 0010-75183 ASSY 2PHASE STEPPER MOTOR | |
2060 | Applied Materials (AMAT) 0010-75246 CENTURA MSM, STANDARD | |
2061 | Applied Materials (AMAT) 0010-76001 ASSY STORAGE ELEV WAFER CASSETTE HNDLR | |
2062 | Applied Materials (AMAT) 0010-76036 CORE, MINI CONTROLLER P5000 | |
2063 | Applied Materials (AMAT) 0010-76323 Assembly, Circuit Breaker, 250A | |
2064 | Applied Materials (AMAT) 0010-76402 STORAGE 6 8 SLOTS | |
2065 | Applied Materials (AMAT) 0010-A3730 ASSY – GENERATOR- TEMPERATURE CONTROL | |
2066 | Applied Materials (AMAT) 0020-10357 FACILITIES AC OUTLET | |
2067 | Applied Materials (AMAT) 0020-24368 TOP PLATE, LLB 8″ DIRECT ACCESS ANGLED | |
2068 | Applied Materials (AMAT) 0020-26975 6″ BUFFER BLADE THIN METAL | |
2069 | Applied Materials (AMAT) 0020-30002 COLLIMATOR 100MM BWCVD | |
2070 | Applied Materials (AMAT) 0020-30186 COVER TOP CENTER PRSP CHAMBER | |
2071 | Applied Materials (AMAT) 0020-31708 Clamp Vespel Ox/mlr/nit 150mm | |
2072 | Applied Materials (AMAT) 0020-34862 150mm Simple Cathode ESC Shell Assembly 6″ | |
2073 | Applied Materials (AMAT) 0020-34894 COVER, SHOWERHD, 200MM DOUBLE NOTCH, | |
2074 | Applied Materials (AMAT) 0020-78549 CLAMP, FLEXURE | |
2075 | Applied Materials (AMAT) 0020-89922 DEP RING | |
2076 | Applied Materials (AMAT) 0021-03656 ION SHIELD, LIFT,NOTCH,194MM ID, VESPEL | |
2077 | Applied Materials (AMAT) 0021-03811 INPUT MANIFOLD TXZ 200MM CIP | |
2078 | Applied Materials (AMAT) 0021-06795 Base, 6″ Heater WxZ | |
2079 | Applied Materials (AMAT) 0021-06823 TC BRACKET SBT | |
2080 | Applied Materials (AMAT) 0021-08436 RING, CLAMP, TAPERED FINGER, VESPEL, 200 | |
2081 | Applied Materials (AMAT) 0021-09100 Flat Chuck, Pedestal Assy, 200MM | |
2082 | Applied Materials (AMAT) 0021-10901 CLAMP RING, VESPEL–12 holes | |
2083 | Applied Materials (AMAT) 0021-13767 BASE, OUTER, ROLLER ASSEMBLY, BRUSH MODULE | |
2084 | Applied Materials (AMAT) 0021-13858 BASE, INNER, WAFER ROLLER ASSEMBLY, BRUS | |
2085 | Applied Materials (AMAT) 0021-23106 FLAG, ROTARY HOME, PPR, IECP | |
2086 | Applied Materials (AMAT) 0021-37094 TOP RING, SPRING COIL, DTCU, DPS | |
2087 | Applied Materials (AMAT) 0035-00282 TEFLON RING, CHAMBER BODY, PRODUCER SE/G | |
2088 | Applied Materials (AMAT) 0040-02149 “””HEATER, COMMON 8″””” LONG SHAFT ALN – | |
2089 | Applied Materials (AMAT) 0040-03641 BLADE, RIGHT 300MM FI ECP | |
2090 | Applied Materials (AMAT) 0040-07962 RING, CLAMP, LOWER, 200MM, LTE/E-CLEAN | |
2091 | Applied Materials (AMAT) 0040-18053 PEDESTAL, HOLES 200mm BLUE SNNF | |
2092 | Applied Materials (AMAT) 0040-18219 LASED, PEDESTAL, 200MM | |
2093 | Applied Materials (AMAT) 0040-21572 Adapter W/B G 12 Flathead | |
2094 | Applied Materials (AMAT) 0040-31813 SHELL ASSY, 200MM NOTCH | |
2095 | Applied Materials (AMAT) 0040-32266 PEDESTAL, ESC, ASSY,200MM, NOTCH–Rev. A , | |
2096 | Applied Materials (AMAT) 0040-32463 RING, MAGNET UPPER | |
2097 | Applied Materials (AMAT) 0040-32463 RING, MAGNET, UPPER | |
2098 | APPLIED MATERIALS (AMAT) 0040-32543 RING, MAGNET LOWER | |
2099 | Applied Materials (AMAT) 0040-32680 0010-70792, 0021-10221 EMXP+/MXP+ OX, Chamb | |
2100 | Applied Materials (AMAT) 0040-32712 “””HEATER, 8″””” DCSXZ””” | |
2101 | Applied Materials (AMAT) 0040-35210 RING UPPER CLAMP RP MACHINING | |
2102 | Applied Materials (AMAT) 0040-36180 TXZ HEATER | |
2103 | Applied Materials (AMAT) 0040-36830 MANIFOLD, MIXING | |
2104 | Applied Materials (AMAT) 0040-37478 BRACKET, MAIN SUPPORT 300MM UNIVERSAL LI | |
2105 | Applied Materials (AMAT) 0040-40945 Plate Clam LID, EMAX 300MM | |
2106 | Applied Materials (AMAT) 0040-60593 LASED, PEDESTAL, 200MM, JMF SMALL FLAT D | |
2107 | Applied Materials (AMAT) 0041-36533 LINER COVER, EXHAUST MOCVD PRODUCER G | |
2108 | Applied Materials (AMAT) 0090-01192 Electrical Assembly, PVD Pre-Clean Inter | |
2109 | Applied Materials (AMAT) 0090-09123 Window Assy, AC Quartz Centered | |
2110 | Applied Materials (AMAT) 0090-09125 A.C WINDOW ASSY QUARTZ CENTERED OPTICS | |
2111 | Applied Materials (AMAT) 0090-09298 ESC ASSY 200MM. NOTCH(2) | |
2112 | Applied Materials (AMAT) 0090-35694 ESC ASSY, 300MM, TOPOG, NOTCH(2), EMXP+ | |
2113 | Applied Materials (AMAT) 0090-35771 Gas Heat Exchange Assembly, Tantalum | |
2114 | Applied Materials (AMAT) 0090-76109 OBS-ASSMBLY,ELECTRICAL, SBC SYNERGY 68040 | |
2115 | Applied Materials (AMAT) 0090-90862 Advance Hivolt PRE-A CHAS.(SPEC 0190-90437) | |
2116 | Applied Materials (AMAT) 0090-91826 CHASSIS MDL OPTION COMM PARTS | |
2117 | Applied Materials (AMAT) 0100-00259 PCB ASSY,SERIPLEX I/O DISTRIBUTION,GPLIS | |
2118 | Applied Materials (AMAT) 0100-00631 ASSEMBLY, PCB, 300MM FAILURE DETECTOR CP | |
2119 | Applied Materials (AMAT) 0100-01543 PCB, ASSEMBLY, I/O CONNECTION, ECP | |
2120 | Applied Materials (AMAT) 0190-01599 BOC EDWARDS BOX, IBC DISPENSE BOX, ECP – SF3 | |
2121 | Applied Materials (AMAT) 0190-02815 WELDMENT, POST HEAT W/SHUT OFF VALVE, 30 | |
2122 | Applied Materials (AMAT) 0190-02977 ASTEX ABX-X355 RF GENERATOR CONTROLLER, ETO | |
2123 | Applied Materials (AMAT) 0190-08245 WET ROBOT, EDGE GRIP CAPABLE, NON COPPER | |
2124 | Applied Materials (AMAT) 0190-09263 PUMPING PLATE, PLENUM, 200MM PETEOS | |
2125 | Applied Materials (AMAT) 0190-09400 SUSC ASSY T2 WELDED, 125 MM SO/N | |
2126 | Applied Materials (AMAT) 0190-09521 PLATE ASSY,PLASMA CHUCK,200/150MM,N-D” | |
2127 | Applied Materials (AMAT) 0190-18302 DELATECH CDO COOLING SCRUBBING | |
2128 | Applied Materials (AMAT) 0190-21243 TARGET, DIFF BOND DURA TN, EXP MOD, NEEDS RE | |
2129 | Applied Materials (AMAT) 0190-70080 ENI OEM-12B-02 RF GENERATOR POWER SUPPLY | |
2130 | Applied Materials (AMAT) 0190-76191 Advanced Energy (AE) MDX-L12M–3152344-100B- | |
2131 | Applied Materials (AMAT) 0190-77203W wNOVA MU SCANNER | |
2132 | Applied Materials (AMAT) 0190-A0012 CHASSIS DR500 RACK MOUNT | |
2133 | Applied Materials (AMAT) 0195-01051 BOARD ASSY DSG | |
2134 | Applied Materials (AMAT) 0200-00330 RING, SINGLE, SERAMIC, ESC, 200MM JMF | |
2135 | Applied Materials (AMAT) 0200-00366 COLLAR,200MM JMF,HDPCVD ULTIMA | |
2136 | Applied Materials (AMAT) 0200-00367 COLLAR,200MM JMF SML FLT,HDPCVD ULTIMA | |
2137 | Applied Materials (AMAT) 0200-00857 DOME, OM-100,UPPER ATM | |
2138 | Applied Materials (AMAT) 0200-01288 COVER, SCREW QUARTZ CATHODE LINER, 300MM | |
2139 | Applied Materials (AMAT) 0200-01954 DEEP RING, 200MM TA/TAN | |
2140 | Applied Materials (AMAT) 0200-09762 RING,CLAMPING,NOTCH,AL 200MM, 1.38 HT,FI | |
2141 | Applied Materials (AMAT) 0200-10143 INSERT, RING, CHAMBER, CERAMIC | |
2142 | Applied Materials (AMAT) 0200-10664 Dome, Dos, Non-Flame Polished | |
2143 | Applied Materials (AMAT) 0200-11342 CERAMIC EDGE RING | |
2144 | Applied Materials (AMAT) 0200-18090 INSULATOR,CLAMPLESS,IN-SITU TEMP TEST,UL | |
2145 | Applied Materials (AMAT) 0200-20064 INSULATOR QTZ 8 | |
2146 | Applied Materials (AMAT) 0200-35023 CHAMBER LINER,QTZ,LOWER | |
2147 | Applied Materials (AMAT) 0200-35048 CHAMBER LINER,LOWER | |
2148 | Applied Materials (AMAT) 0200-35112 5200 6″ EPI R3–SUSC, Rotation 150 mm | |
2149 | Applied Materials (AMAT) 0200-35436 SUSCEPTOR,LONG LANDING,NITRIDE,OVLP,200M | |
2150 | Applied Materials (AMAT) 0200-35439 DISK, COOLDOWN PEDESTAL | |
2151 | Applied Materials (AMAT) 0200-35439 DISK, COOLDOWN PEDESTAL GRAPHITE 8″ | |
2152 | Applied Materials (AMAT) 0200-35760 RING,FOCUS,195MM,NOTCH,ZERO HT,SIMCAT | |
2153 | Applied Materials (AMAT) 0200-40101 COVER 150MM HDP, MARK IV CHAMBER | |
2154 | Applied Materials (AMAT) 0200-40189 DOME,THERMALLY CONTROLLED, FIRE POLISHED | |
2155 | Applied Materials (AMAT) 0227-95226 WEIGHT, 200MM CASSETTE, CLAMPING | |
2156 | Applied Materials (AMAT) 0240-28444 KIT, 750V POWER SUPPLY, PCIIE | |
2157 | Applied Materials (AMAT) 0240-75750 kit gen compliance hardware endura | |
2158 | Applied Materials (AMAT) 0242-03316 MCVD EBARA ET 300WS-A HTD STD WITH CONTROLLE | |
2159 | Applied Materials (AMAT) 0242-04117 KIT,CHAMBER B EXHAUST, O2 SENSOR/BPSG | |
2160 | Applied Materials (AMAT) 0242-15018 KIT,IS,CONS,PLANAR,200MM NOTCH,KALREZ | |
2161 | Applied Materials (AMAT) 0242-41161 RETROFIT KIT,CASSETTE HANDLER MODIFICATION | |
2162 | Applied Materials (AMAT) 0500-00192 SMC INR-498-P235 MAIN CONTROL UNIT THERMO CH | |
2163 | Applied Materials (AMAT) 0500-00217 NOVASCAN 3000; CONTROL UNIT HARDWARE; 315-40 | |
2164 | Applied Materials (AMAT) 0500-00277 CTI CRYOGENICS ONBOARD CONTROLLER 8186518G00 | |
2165 | Applied Materials (AMAT) 0650-a0170 MEC ASSY DR300 f/Intel & R.O.W | |
2166 | Applied Materials (AMAT) 0920-01042 GENERATOR RF PDX 900-2V Passive FRT PNL | |
2167 | Applied Materials (AMAT) 1410-00237 Heateflex LH1-1-5.6-N-A01-P561 HTR IN-LINE 5 | |
2168 | Applied Materials (AMAT) 3620-00116 TURBOTRONIK NT20 CONVER 208V | |
2169 | Applied Materials (AMAT) 3620-01396 PUMP TURBO SEIKO SEIKI STPH1000C ISO200F W/V | |
2170 | Applied Materials (AMAT) 3620-01515 PUMPTURBO TMP361C 160 ISO-K FLANGE | |
2171 | Applied Materials (AMAT) 3930-A0480 R2H MAIN CONTROLLER | |
2172 | Applied Materials (AMAT) 50709337100 MVA Y Cover | |
2173 | Applied Materials (AMAT) 853-025103-003 Lower Match Box Assembly-AS-IS | |
2174 | Applied Materials (AMAT) 9010-00163 Inert/Purge Module 6 POSN PN SINGLE GAS BOX | |
2175 | Applied Materials (AMAT) 9010-01157 EXTRACTION ROTATE/SLIDE MECH | |
2176 | Applied Materials (AMAT) 9010-01506 WAFER ARM DRIVE ASSY | |
2177 | Applied Materials (AMAT) 9010-02276 GAS MODULE WIRED HP-C02 | |
2178 | Applied Materials (AMAT) 9010-02276ITL GAS MODULE WIRED HP-CO2 | |
2179 | Applied Materials (AMAT) 9010-02455 GAS MOD’L, SA-HP GEF4 | |
2180 | Applied Materials (AMAT) 9010-02456 GAS MOD’L, SA-HP, SIF4 | |
2181 | Applied Materials (AMAT) 9090-00027 PRE-ACCEL/AMAG CONTROL CHASSIS | |
2182 | Applied Materials (AMAT) 9090-00811 ASSY ENERGY CONTROL CHASSIS | |
2183 | Applied Materials (AMAT) 9240-01257 KIT OPTION SDS ASH3/PH3 GAS | |
2184 | Applied Materials (AMAT) 9240-02836ITL EXTRACTION LIFT ASSY/LOCKNLOAD | |
2185 | Applied Materials (AMAT) 9240-06151 KIT, OPTION SDS ASH3/PH3, 4 TOR | |
2186 | Applied Materials (AMAT) EPI 0040-06477 CLAMP RING UPPER, RP AMAT EPI | |
2187 | Applied Materials (AMAT) EPI 0040-35135 RING, UPPER CLAMP, RP | |
2188 | Applied Materials (AMAT) EPI 0040-35140 CLAMP RING UPPER, ATM | |
2189 | Applied Materials (AMAT) Synergy Microsystems V440 SBC PCB Card 0190-76043 | |
2190 | Applied Materials (AMAT) Tosoh SET-605-AN4K-AG–Use 6″ Preclean Eudura II Kit | |
2191 | Applied Materials / AMAT / NEMIC LAMBDA YM-99-247C1 | |
2192 | Applied Materials / AMAT / NEMIC LAMBDA YM-99-247D POWER SUPPLY | |
2193 | Applied Materials / AMAT / NEMIC LAMBDA YM-99-247E POWER SUPPLY | |
2194 | Applied Materials / AMAT / VAT S14 HV Gate Valve DN 100 4″ ISO-F 14040-PE44-0005 | |
2195 | Applied Materials / AMAT 0010-17798-002 M11-097-0949 | |
2196 | Applied Materials / AMAT 1A0477801KR-08011 Upper Inner Chamber XT | |
2197 | Applied Materials / AMAT 1A0922701IS-07005 Upper Inner Chamber XT | |
2198 | Applied Materials / AMAT CHUCK Core Flow Scientific Solutions with case | |
2199 | Applied Materials / AMAT Endura Controller 0010-22911 PVD Chamber 300MM WORKING! | |
2200 | Applied Materials / AMAT Endura Controller 0010-31159 PVD Chamber 300MM WORKING! | |
2201 | Applied Materials / AMAT TOL 0010-B1050 REV A big Optical Lens/sensor/prism | |
2202 | Applied Materials /AMAT 0010-17798-002 MII-077-0941 | |
2203 | Applied Materials 0010-00212W Robot, Assy. REFURBISHED BY AMAT Precision 8300 | |
2204 | Applied Materials 0010-00510 Bearing, Assy. AMAT Etch | |
2205 | Applied Materials 0010-00510 Cathode Assy AMAT | |
2206 | Applied Materials 0010-00706 Clamp Ring, Vespal XTAL 150 MM AMAT Etch | |
2207 | Applied Materials 0010-00957 Bracket Mount, AMAT 0010-00957 Wafer Orienter Laser | |
2208 | Applied Materials 0010-01098 Refelector, Assy. Cassette Handler 200 MM | |
2209 | Applied Materials 0010-02232&0010-02233 300mm Ultima x Armset AMAT | |
2210 | APPLIED MATERIALS 0010-02657 Cooldown Chamber ETCH AMAT | |
2211 | APPLIED MATERIALS 0010-02911 (0040-05492) ASSY, ESC/RF, 200MM, NOTCH, EMAX AMAT | |
2212 | APPLIED MATERIALS 0010-02977 ASSEMBLY, RF MATCH, SUB ZERO BESC AMAT | |
2213 | Applied Materials 0010-02991 Susceptor, 150 MM WCVD AMAT | |
2214 | Applied Materials 0010-03485 MAGNET ASSY, WP AL 300MM PVD AMAT | |
2215 | APPLIED MATERIALS 0010-04542 ASSY, HEATER, 200MM WXZ CERAMIC RING, OS AMAT | |
2216 | Applied Materials 0010-04926 HV MODULE ASSY, NEGATIVE, CESC AMAT | |
2217 | APPLIED MATERIALS 0010-05965 ASSY, ESC/RF, 200MM FLAT, DUAL ZONE AMAT | |
2218 | Applied Materials 0010-09019 Throttle Valve, Assy. AMAT Etch | |
2219 | Applied Materials 0010-09019W Throttle Valve, Assy. AMAT Etch | |
2220 | Applied Materials 0010-09021 I/O Slit Valve, Assy. AMAT P-5000 | |
2221 | Applied Materials 0010-09053 Tray, Assy. 200 MM AMAT P-5000 | |
2222 | Applied Materials 0010-09092 Ozonator, Assy. CVD AMAT | |
2223 | Applied Materials 0010-09174 Throttle Valve, Assy. 200 MM AMAT CVD | |
2224 | Applied Materials 0010-09174 Throttle Valve, Assy. 200 MM CVD AMAT | |
2225 | Applied Materials 0010-09341 Wafer Lift, Assy. AMAT CVD | |
2226 | Applied Materials 0010-09341 Wafer Lift, Assy. AMAT CVD | |
2227 | Applied Materials 0010-09402 Throttle Valve, Assy. AMAT CVD | |
2228 | Applied Materials 0010-09402W Throttle Valve, Assy. AMAT CVD | |
2229 | APPLIED MATERIALS 0010-09416ASSY RF MATCH,ETCH AMAT | |
2230 | APPLIED MATERIALS 0010-09417 ASY RFMATCH,CVD,UPGRADE TO 0010-09750 AMAT | |
2231 | APPLIED MATERIALS 0010-09490 ASSY RF MATCH BW ETCHBACK AMAT | |
2232 | Applied Materials 0010-09559 Pedestal, Assy. AMAT Etch | |
2233 | Applied Materials 0010-09732 Pedestal, Assy. 200 MM AMAT Etch | |
2234 | APPLIED MATERIALS 0010-09750 CVD RF-MATCH AMAT | |
2235 | Applied Materials 0010-09781 Teos Ampule Assy P-5000 AMAT | |
2236 | Applied Materials 0010-09806 Gas Box, WSI 150 MM AMAT | |
2237 | Applied Materials 0010-09837 Wafer Lift, Assy. AMAT CVD | |
2238 | Applied Materials 0010-09843 Manifold, H2O VDS AMAT Precision 5000 | |
2239 | Applied Materials 0010-09875 Vaporline, VDS AMAT P-5000 | |
2240 | Applied Materials 0010-09875 Vaporline, VDS Assy. AMAT VDS | |
2241 | Applied Materials 0010-09886 ASSY LI REMOTE CONTROLLER AMAT | |
2242 | Applied Materials 0010-09928 Controller Box, ESC AMAT P-5000 Etch | |
2243 | Applied Materials 0010-09936 CONN,HV,MODULE ASSY,RF BOX, ESC AMAT Etch | |
2244 | Applied Materials 0010-09940 Gas Box, WSI CVD AMAT | |
2245 | Applied Materials 0010-10033 Wafer Lift, Assy. Ceramic Hoop AMAT CVD | |
2246 | Applied Materials 0010-10055 Gas Box, WXZ AMAT CVD | |
2247 | Applied Materials 0010-10139 Hinge, Adjustable Uni-lid A&D AMAT MXP Etch | |
2248 | APPLIED MATERIALS 0010-10289 ASSY HTR 6 SR WXZ AMAT | |
2249 | Applied Materials 0010-10556 Monochromator Module, Assy. AMAT Centura | |
2250 | Applied Materials 0010-10579 RF Match, Assy. Etch Mxp+ AMAT Etch | |
2251 | APPLIED MATERIALS 0010-10579 RF MATCH, MODIFIED SIMPLE CATHODE AMAT | |
2252 | Applied Materials 0010-10581 Non-Heated Throttle Valve AMAT Etch | |
2253 | Applied Materials 0010-10636 P-Chuck, Assy. 200 MM CVD AMAT | |
2254 | Applied Materials 0010-10758 ASSY, DSGD LID, MXP & MK II CHBR, VCR, P AMAT Etch | |
2255 | Applied Materials 0010-10895, 0716-GAS POWER SUPPLY, LAMBDA UBJ2MNPXX-1930 AMAT | |
2256 | Applied Materials 0010-10901 HV MODULE ASS’Y, RF BOXGECO AMAT | |
2257 | Applied Materials 0010-10902 Connector, HV Module, Assy. AMAT Etch | |
2258 | Applied Materials 0010-10973 H.O.T. Detector, Assy. AMAT Etch | |
2259 | APPLIED MATERIALS 0010-13621 PVD HGH EFF RF MATCH PRECLEAN AMAT | |
2260 | Applied Materials 0010-13622 ASSY, VECTRA IMP RF MATCH .01UF CAP REV AMAT | |
2261 | Applied Materials 0010-13623 ASSY, VECTRA IMP RF MATCH .015UF CAP REV AMAT | |
2262 | Applied Materials 0010-13650 AC BOX, HTESC, P1 AMAT | |
2263 | APPLIED MATERIALS 0010-13878 AASY, PCIIE RF MATCH AMAT | |
2264 | Applied Materials 0010-14216 ASSEMBLY, DC POWER SUPPLY, CMP FIXED ABR AMAT | |
2265 | Applied Materials 0010-15324 ASSEMBLY NON-CONTACT SLIT VALVE DOOR, AX AMAT | |
2266 | Applied Materials 0010-16688 Degas/Preheat LLB Assy,300MM Endura AMAT | |
2267 | APPLIED MATERIALS 0010-18253 UPPER CHAMBER ASSEMBLY | |
2268 | Applied Materials 0010-19075 MAGNET ASSY, WP AL 300MM PVD AMAT | |
2269 | Applied Materials 0010-20015 Lift, Assy. Orienter A.K.A 0010-20230 | |
2270 | Applied Materials 0010-20015 Lift, Assy. Orienter AMAT PVD | |
2271 | Applied Materials 0010-20021 or 0010-20064 TRANSFER SLIT VALVE ASSY AMAT | |
2272 | Applied Materials 0010-20079 ASSY, PVD CHAMBER DRIVER AMAT | |
2273 | Applied Materials 0010-20224 | |
2274 | Applied Materials 0010-20230 Lift, Assy. Orienter AMAT Wafer Orienter | |
2275 | Applied Materials 0010-20285 ASSY, INDEXER LEFT AUTOMATED LOAD LOCK AMAT | |
2276 | Applied Materials 0010-20351 6inch Degass Lamp Moudule AMAT | |
2277 | applied materials 0010-20389 ENDURA MAGNET AMAT | |
2278 | Applied Materials 0010-20498 ASSY +/- 15V POWER SUPPLY GEN RACK AMAT | |
2279 | Applied Materials 0010-20524 ASSY 8″ RF MATCH MODIFIED” AMAT | |
2280 | Applied Materials 0010-20697 Dual Degas Driver, Assy. 200V AMAT PVD | |
2281 | Applied Materials 0010-20697 Dual Degas Driver, Assy. 200V Endura PVD | |
2282 | Applied Materials 0010-20764 PVD Driver 200V, Assy. AMAT PVD | |
2283 | Applied Materials 0010-20977 / 3-month warranty | |
2284 | Applied Materials 0010-21264 Rev A Assembly Shutter Linkage SMC NCRB80-180 AMAT | |
2285 | Applied Materials 0010-21403 Magnet, PVD AMAT PVD | |
2286 | Applied Materials 0010-21678 Shield, Assy. Vectra IMP TI ADV 101 Endura PVD | |
2287 | APPLIED MATERIALS 0010-21711 AMAT ENDURA MATCH RF IMP VECTRA 0127 | |
2288 | APPLIED MATERIALS 0010-21844 ENDURA MAGNET AMAT | |
2289 | applied materials 0010-21940 ENDURA G3 MAGNET AMAT | |
2290 | Applied Materials 0010-22985 | |
2291 | APPLIED MATERIALS 0010-22985 PEDESTAL, PIB, 300MM FDR SLTESC DYLYN AS AMAT | |
2292 | Applied Materials 0010-23066 | |
2293 | Applied Materials 0010-23677 | |
2294 | APPLIED MATERIALS 0010-24076 003 MCA E-CHUCK HEATER 300MM FDR SLT ESC NI-PL AMAT | |
2295 | APPLIED MATERIALS 0010-24076 006 MCA E-CHUCK HEATER 300MM FDR SLT ESC NI-PL AMAT | |
2296 | Applied Materials 0010-24456 | |
2297 | APPLIED MATERIALS 0010-24456 ASSEMBLY, 300MM FDR SLT ESC ASSY, NI-PL AMAT | |
2298 | Applied Materials 0010-25300 AXIOM Chamber Controller Revision AMAT | |
2299 | Applied Materials 0010-25739 MAGNET ASSY, WP AL 300MM PVD AMAT | |
2300 | APPLIED MATERIALS 0010-26180 HE RF MATCH, BIAS W/ FILTER PCB, 300MM AMAT | |
2301 | Applied Materials 0010-26727 OUTSOURCED ASSY, HEATER LIFT, PRODUCER S AMAT | |
2302 | Applied Materials 0010-27430 | |
2303 | APPLIED MATERIALS 0010-27430 PEDESTAL, PIB, 300MM MCA HT ESC ASSY, KO AMAT | |
2304 | Applied Materials 0010-27431 | |
2305 | Applied Materials 0010-27983 | |
2306 | Applied Materials 0010-30001 Degasser, Assy. AMAT CVD | |
2307 | Applied Materials 0010-30059 Pedestal, 200 MM, Flat, ESC AMAT Etch | |
2308 | Applied Materials 0010-30246 Susceptor, Assy. 150 MM SW Cheese DCS AMAT | |
2309 | Applied Materials 0010-30318 Lid, Etch SSGD B/C AMAT MXP Etch | |
2310 | Applied Materials 0010-30320 Hinge, Assy. R2 AMAT Etch | |
2311 | Applied Materials 0010-30838 | |
2312 | Applied Materials 0010-31396 | |
2313 | APPLIED MATERIALS 0010-34796 300MM TITAN CALYPSO ASSEMBLY AMAT * * | |
2314 | Applied Materials 0010-34802 CTR POPLAR POLISHER AMAT | |
2315 | Applied Materials 0010-35492 Lamp Module, Delta DCS AU AMAT Centura | |
2316 | Applied Materials 0010-36114 R0 DPS RF MATCH AMAT | |
2317 | APPLIED MATERIALS 0010-36162 SIMPLE CATHODE RF MATCH AMAT | |
2318 | Applied Materials 0010-36388 Lamp Module, Assy. DTCU DPS Poly AMAT | |
2319 | Applied Materials 0010-36408 -ASSY,RF MATCH,DPS CHAMBER AMAT | |
2320 | Applied Materials 0010-36617 RF Feedthru, Assy. DTCU DPS Poly AMAT | |
2321 | Applied Materials 0010-36734 | |
2322 | Applied Materials 0010-37735 ASTEX IPS BIAS RF MATCH ASSEMBLY AMAT | |
2323 | Applied Materials 0010-37899 Recess Etch, Assy. 2.75 Window, DPS AMAT Centura | |
2324 | APPLIED MATERIALS 0010-39903 CATHODE ASSY, ESC, 200MM, SNNF, AIO, IPS AMAT | |
2325 | Applied Materials 0010-60019 Susceptor, Shadow Ring 100 MM AMAT CVD | |
2326 | Applied Materials 0010-70078 Tray, Assy. 200 MM 29 Slot AMAT | |
2327 | APPLIED MATERIALS 0010-70252 ASSY 6 HEATER VCR W/2TC ‘S *MSG* AMAT | |
2328 | Applied Materials 0010-70614 Door, Slit Valve, Metal Etch,Viton AMAT P-5000 | |
2329 | Applied Materials 0010-75207 ASSY ORIENTER LASER OPTICS AMAT | |
2330 | Applied Materials 0010-76000 Robot, Phase III AMAT Precision 5000 | |
2331 | Applied Materials 0010-76019 Cassette Handler, Top 200 MM AMAT P-5000 | |
2332 | Applied Materials 0010-76136 ASSY, WAFER LIFT HTHU HEATER AMAT PVD | |
2333 | Applied Materials 0010-76173W Throttle Valve, Assy. Upstream, Nitride AMAT CVD | |
2334 | Applied Materials 0010-76270 Robot Blade, 150 MM JMF HTHU AMAT Endura | |
2335 | Applied Materials 0010-76306 Bearing, Assy. Sputter AMAT Etch | |
2336 | Applied Materials 0010-76543 Heat Exchanger, AMAT-1 208V | |
2337 | Applied Materials 0020-01075& 0020-28491 300mm ENDURA 2 Magnet AMAT | |
2338 | Applied Materials 0020-04189 Adaptor, Top Mark II Etch Chamber AMAT | |
2339 | Applied Materials 0020-19001 Spacer, Slit Valve SHO AMAT Centura | |
2340 | Applied Materials 0020-20164&0020-20295.11.3 Source Endura AMAT | |
2341 | Applied Materials 0020-20586 Insert, Preclean Mod. PVD AMAT A.K.A 0021-20156 | |
2342 | Applied Materials 0020-21754 Pocket Plate, 150 MM AMAT Endura | |
2343 | Applied Materials 0020-21754 Pocket Plate, 150 MM AMAT PVD | |
2344 | Applied Materials 0020-21755 Clamp Ring, 150 MM AMAT PVD | |
2345 | Applied Materials 0020-22476 Susceptor, 125 MM MAC AMAT PVD | |
2346 | Applied Materials 0020-22950 ADAPTER, COLLIMATOR SOURCE 13 AMAT | |
2347 | Applied Materials 0020-22982 ADAPTER TIN 8″ WAFER CHAMBER 16″ AMAT | |
2348 | Applied Materials 0020-23354 Insulator, Quartz PVD AMAT | |
2349 | Applied Materials 0020-23355 PC II PEDESTAL AMAT PVD | |
2350 | Applied Materials 0020-23494 Honey Comb Collimator AMAT PVD | |
2351 | Applied Materials 0020-27275 Clamp Ring, COH Ti/TiN Poison HI-PWR, SNNF AMAT PVD | |
2352 | Applied Materials 0020-27439 Deposition Ring, 200 MM AMAT PVD | |
2353 | Applied Materials 0020-30110 Collimator AMAT CVD | |
2354 | Applied Materials 0020-30723 Lift Cylinder AMAT Etch | |
2355 | Applied Materials 0020-31147 Insert Base, 150-200 MM AMAT Etch | |
2356 | Applied Materials 0020-32320 Gas Distribution Plate AMAT Etch | |
2357 | Applied Materials 0020-33806 CHAMBER, UPPER, DPS A3 AMAT | |
2358 | Applied Materials 0020-34297 TOP COVER, SSGD, R2 CHAMBER AMAT Etch | |
2359 | Applied Materials 0020-34299 Flange, Insulation Unibody .400THK AMAT Etch | |
2360 | Applied Materials 0020-34694 Liner, Wall MXP+ AMAT Etch | |
2361 | Applied Materials 0020-34731 Lid, Pumping Plate AMAT CVD | |
2362 | Applied Materials 0020-37229 Reflector, RH AMAT Centura | |
2363 | APPLIED MATERIALS 0020-63125 DEP RING,CLAMPED, CLEANCOAT, 300MM ESC AMAT CLEANED | |
2364 | APPLIED MATERIALS 0020-83876 UPPER SHIELD DARKSPACE 300MM SIP AMAT w/ CERT * * | |
2365 | APPLIED MATERIALS 0020-99521 Endura PVD chamber SIP | |
2366 | applied materials 0021-09601 DPS METAL UPPER CHAMBER FULL A COATING AMAT | |
2367 | Applied Materials 0021-10223 LINER,CHAMBER,CLAMP LID AMAT | |
2368 | Applied Materials 0021-13612 LINER, CATHODE W/ LWR LINER, 300MM DPS I AMAT | |
2369 | Applied Materials 0021-39570 PLATE, TOP BPSG 200MM XE CHAMBER RTP AMAT | |
2370 | Applied Materials 0030-7005 ORIENT CHAMBER BODY AMAT | |
2371 | Applied Materials 0040-02932 BASE, HEATER, 8 ENHANCED O/D MIXED BULB AMAT | |
2372 | Applied Materials 0040-05037 BASE, HEATER AMAT | |
2373 | Applied Materials 0040-09001 Chamber Body, Standard 4-6″ AMAT CVD | |
2374 | Applied Materials 0040-09005 Base, Cathode | |
2375 | Applied Materials 0040-09005 Cathode Base AMAT Etch | |
2376 | Applied Materials 0040-09094 Chamber, 200MM CVD AMAT CVD | |
2377 | APPLIED MATERIALS 0040-09557 AMAT USED, CHAMBER BODY ASP | |
2378 | Applied Materials 0040-09699 WXZ Chamber Body AMAT | |
2379 | Applied Materials 0040-09817 Heater Weldment, WXZ 150 MM AMAT CVD | |
2380 | Applied Materials 0040-09958 Shell, Assy. 200 MM Notch AMAT | |
2381 | Applied Materials 0040-13722 300mm VHP ROBAT ASSY AMAT | |
2382 | APPLIED MATERIALS 0040-18053 PEDESTAL, HOLES 200mm BLUE SNNF AMAT | |
2383 | APPLIED MATERIALS 0040-18219 LASED, PEDESTAL, 200MM SNNF SML FLT AMAT | |
2384 | Applied Materials 0040-20195 WIDE BODY CHAMBER PVD AMAT | |
2385 | Applied Materials 0040-20319 Front Door Cover AMAT PVD (1 lot of 2) | |
2386 | Applied Materials 0040-32712 HEATER, 8″ DCSXZ AMAT | |
2387 | Applied Materials 0040-33998 Liner, Wall MXP+ Oxide | |
2388 | Applied Materials 0040-33998 Liner, Wall MXP+ Oxide AMAT Etch | |
2389 | APPLIED MATERIALS 0040-39947 200mm Ceramic heater AMAT | |
2390 | APPLIED MATERIALS 0040-41506 REV 06 HOOP, SWLL CENTURA AP AMAT *UNUSED SURPLUS* | |
2391 | APPLIED MATERIALS 0040-42512 200mm Ceramic heater AMAT | |
2392 | Applied Materials 0040-43977 LINER, LOWER 300MM DPS2 AMAT | |
2393 | APPLIED MATERIALS 0040-43978 CHAMBER UPPER LINER, COOL ETCH 300MM DPS AMAT | |
2394 | APPLIED MATERIALS 0040-44263 300MM CERAMIC ESC AMAT | |
2395 | APPLIED MATERIALS 0040-50363 300MM CERAMIC ESC AMAT | |
2396 | APPLIED MATERIALS 0040-62276 0040-52087 200mm Ceramic heater AMAT | |
2397 | APPLIED MATERIALS 0040-70479 00mm Ceramic heater AMAT | |
2398 | Applied Materials 0040-99333 ENDURA 300MM Adaptor AMAT | |
2399 | APPLIED MATERIALS 0040-MW171 LASED PEDESTAL AMAT | |
2400 | APPLIED MATERIALS 0041-03706 CARRIER AMAT | |
2401 | APPLIED MATERIALS 0041-09027 LLA/ 0041-40164 AMAT | |
2402 | Applied Materials 0041-42830 Arm Weldment AMAT | |
2403 | Applied Materials 0050-00083 EXHAUST LINE, XFER CRYO TOP, 1/2 OD SST AMAT | |
2404 | Applied Materials 0050-30759 Cover, Gas Inlet AMAT Etch | |
2405 | Applied Materials 0090-00033 Poly End Point Detector AMAT Etch | |
2406 | Applied Materials 0090-00033 Poly End Point Detector AMAT Poly Etch | |
2407 | Applied Materials 0090-00044 Anti Clouding Window AMAT Etch | |
2408 | Applied Materials 0090-00045 Controller, A/C Window AMAT Etch | |
2409 | Applied Materials 0090-02830 PCB ASSY, 300MM PIB INTERFACE T/C PCB AMAT | |
2410 | Applied Materials 0090-04150 WATLOW ANAFAZE CLS208 AMAT | |
2411 | Applied Materials 0090-04736 ASSEMBLY, RF FILTER BOX, PRODUCER SE AMAT | |
2412 | Applied Materials 0090-09123 Window Assy, AC Quartz Centered AMAT Etch | |
2413 | Applied Materials 0090-09143 Window Assy, AC Quartz Centered AMAT Etch | |
2414 | Applied Materials 0090-91664 CHASSIS WRD ARM SERVO PSU AMAT | |
2415 | Applied Materials 0090-A2760 RH Electronics AMAT | |
2416 | APPLIED MATERIALS 0100-00033 wPWB, MOTOR DRIVE AMAT | |
2417 | APPLIED MATERIALS 0100-00033 wPWB, MOTOR DRIVE AMAT | |
2418 | APPLIED MATERIALS 0100-00643 AMAT PCB DUAL GAS LEAK DETECTOR BOARD | |
2419 | APPLIED MATERIALS 0100-00643 AMAT PCB DUAL GAS LEAK DETECTOR BOARD | |
2420 | Applied Materials 0100-01321 ASSY PCB DIGITAL I/O DIO BD AMAT | |
2421 | APPLIED MATERIALS 0100-09054 ASSY PCB DIGITAL I/O DIO BD AMAT | |
2422 | Applied Materials 0100-09162 PCB ASSEMBLY MANOMETER SELECT BOARD AMAT | |
2423 | APPLIED MATERIALS 0100-09169 wEXPANDED RS-232 CNTRL. ASSY AMAT | |
2424 | Applied Materials 0100-09287 CENTURA APC MATRIX BD AMAT | |
2425 | Applied Materials 0100-20083 PCB ASSY, CRYO TEMP/AI MUX BOARD AMAT | |
2426 | APPLIED MATERIALS 0100-20100 wPCB ASSY, ANALOG INPUT/ OUTPUT AMAT | |
2427 | APPLIED MATERIALS 0100-20173 ASSY.PCB, STEPPER CONTROLLER 1.7 AMP DRI AMAT | |
2428 | Applied Materials 0100-20177 PCB ASSY WATER/SMOKE DET CENT PVD AMAT | |
2429 | APPLIED MATERIALS 0100-35050 BACKPLANE PCB ASSEMBLY CONTROLLER I/O CENTURA AMAT | |
2430 | Applied Materials 0100-35086 PCB ASSEMBLY, GAS PANEL DISTRIBUTION AMAT | |
2431 | APPLIED MATERIALS 0100-35124 ASSEMBLY, PCB, SERIPLEX I/O DISTRIBUTION AMAT | |
2432 | Applied Materials 0100-35227 PCB ASSY, E-CHUCK CONTROLLER AMAT | |
2433 | Applied Materials 0100-40013 PCBA MF INTERLOCK AMAT | |
2434 | Applied Materials 0100-76005 PCB ASSY, SERIAL/VIDEO/ DCN DISTRIBUTION AMAT | |
2435 | Applied Materials 0140-08229 ASSY, SWITCH, DIW FLOW, INPUT LDM, 300MM AMAT | |
2436 | APPLIED MATERIALS 0150-20668 AMAT RF GENERATOR ZIF 75 FT CABLE | |
2437 | Applied Materials 0150-21666 Cable, Assy. HN(M), R/A To SQ(M), STR RG AMAT PVD | |
2438 | Applied Materials 0150-22500 CABLE ASSY, ICE DC SOURCE, QDS, UHF, 55′ AMAT | |
2439 | APPLIED MATERIALS 0190-00312 AMAT MAGMOTOR CONTROLLER BOX 200 MM 71-100-1856 | |
2440 | Applied Materials 0190-01398 API OZONATOR CONTROLLER AMAT | |
2441 | Applied Materials 0190-01870 ASP Interlock AMAT Centura | |
2442 | Applied Materials 0190-01947 SPECIFICATION, 254NM LIGHT SOURCE, DPS AMAT | |
2443 | Applied Materials 0190-01965 DC POWER SUPPLY, 300MM CHAMBER AMAT | |
2444 | Applied Materials 0190-02264 Module, HD Analog AMAT | |
2445 | APPLIED MATERIALS 0190-03744, 0190-03745 VHP ROBOT DRIVER UPPER & LOWER SET AMAT | |
2446 | applied materials 0190-06308 1KVA POWER SUPPLY AMAT | |
2447 | Applied Materials 0190-09116 SMC INR-244-602A Heat exchanger AMAT | |
2448 | Applied Materials 0190-09272 Directional Coupler, 1500 Watts AMAT ASP | |
2449 | Applied Materials 0190-09378 wDRIVE SINGLE PHASE, PHASETRONICS AMAT | |
2450 | APPLIED MATERIALS 0190-09379 AMAT PCB SBC SEMI BOARD SYNERGY | |
2451 | Applied Materials 0190-09395 LAMP DRIVER, RING LUGS, DELTA POWER AMAT | |
2452 | Applied Materials 0190-09445 Applied Materials AMAT CVD | |
2453 | APPLIED MATERIALS 0190-09477 AMAT SERIPLEX BOARD | |
2454 | Applied Materials 0190-10790 MATCH, AUTO MATCH NETWORK, 13.56 MHZ, 3K AMAT | |
2455 | Applied Materials 0190-13325 CABLE, 75 FT UMBILICALS, HTESC AMAT | |
2456 | APPLIED MATERIALS 0190-15167 RF MATCH, 13.56 MHZ, 3KV, 30A, NAVIGATOR AMAT | |
2457 | APPLIED MATERIALS 0190-19092 PEDESTAL, BIPOLAR ESC, 300MM DPN PLUS AMAT | |
2458 | Applied Materials 0190-20077 ENDURA MAIN AC Transformer AMAT | |
2459 | Applied Materials 0190-22229 CHEMICAL FILTER ASSY 5.3 FFU AMAT | |
2460 | APPLIED MATERIALS 0190-24686 HEATER AL SINGLE ZONE 300MM ENDURA2 AMAT | |
2461 | APPLIED MATERIALS 0190-24686 HEATER AL SINGLE ZONE 300MM ENDURA2 AMAT # | |
2462 | Applied Materials 0190-25879 KIT, FRU,ARM,UPPER,CENTURA AMAT | |
2463 | Applied Materials 0190-35198 Optical Sensor, Assy AMAT | |
2464 | Applied Materials 0190-35615 TC, Assy. Heater DCSXZ AMAT CVD | |
2465 | Applied Materials 0190-35872 HI-CON OZONE GEN, CE- MARK NRTL REMOTE AMAT | |
2466 | Applied Materials 0190-36058 CHILLER/HT XCHR,DUAL,5-28KW MAG PUMPS AMAT | |
2467 | Applied Materials 0190-36626 Endpoint Detector MKS Process Sense | |
2468 | Applied Materials 0190-70060 Magnet Driver AMAT Etch | |
2469 | Applied Materials 0190-72071 A K T Model F180132 AMAT | |
2470 | APPLIED MATERIALS 0200-01119 SHADOW RING, QUARTZ 200MM NOTCH (2) AMAT *RECYCLED* | |
2471 | Applied Materials 0200-01393 LINER, COMMON, BD/BLOK, LOWK, 200MM PROD AMAT | |
2472 | Applied Materials 0200-01809 SINGLE RING, CERAMIC, 200MM NOTCH (.500 AMAT | |
2473 | Applied Materials 0200-01955 Deposition Ring, 200 MM SIP TA/TAN AMAT PVD | |
2474 | Applied Materials 0200-05495 CERAMIC INSERT, CIP2 LINER ,HART 300MM AMAT | |
2475 | APPLIED MATERIALS 0200-05678 CERAMIC ROBOT BLADE *UNUSED SURPLUS w/ CERT* | |
2476 | Applied Materials 0200-08167 L-Collar, SI-SIC 1.5MM Step, E5 AMAT | |
2477 | APPLIED MATERIALS 0200-08235 CERAMIC ROBOT BLADE *UNUSED SURPLUS* | |
2478 | APPLIED MATERIALS 0200-09232 QUARTZ RING CLEAN CHAMBER 300MM AMAT w/ CERT | |
2479 | Applied Materials 0200-09761 Clamping Ring AMAT Etch | |
2480 | Applied Materials 0200-09762 RING, CLAMPING, NOTCH, AL, 200MM, 1.38 H AMAT Etch | |
2481 | Applied Materials 0200-09830 Ring Pedestal, ESC AMAT Etch | |
2482 | Applied Materials 0200-09869 Ring, Clamping Flat AL, 125 MM AMAT Etch | |
2483 | Applied Materials 0200-09994 Ring Inner, ALN, 8″/5MM,JMF,201, WXZ AMAT CVD | |
2484 | Applied Materials 0200-09996 Ring, Outer WXZ AMAT CVD | |
2485 | Applied Materials 0200-10006 Wafer, ALN 150 MM JMF WXZ AMAT CVD | |
2486 | Applied Materials 0200-10173 Plate, Cover 200 MM DXZ Heater A.K.A 0200-36630 | |
2487 | Applied Materials 0200-10540 Source, SI AMAT | |
2488 | APPLIED MATERIALS 0200-11468 DEP RING, XECO, TSV w/ CERT AMAT | |
2489 | Applied Materials 0200-18067 Insulator, HDPCVD AMAT CVD | |
2490 | Applied Materials 0200-18067 Insulator, HDPCVD AMAT CVD | |
2491 | Applied Materials 0200-20030 Singchamb, Dome AMAT PVD | |
2492 | Applied Materials 0200-20064 Insulator, Quartz AMAT PVD | |
2493 | Applied Materials 0200-35162 Liner, Chamber, Upper, BRKT/ AMAT | |
2494 | Applied Materials 0200-35256 Ring, Preheat AMAT Centura | |
2495 | Applied Materials 0200-35324 Ring, 195 MM AMAT DPS | |
2496 | Applied Materials 0200-35325 Focus Ring, ESC Centura 5200 DPS AMAT | |
2497 | Applied Materials 0200-35325 Focus Ring, ESC DPS AMAT | |
2498 | Applied Materials 0200-35595 Liner, Lid TXZ AMAT CVD | |
2499 | Applied Materials 0200-35605 Focus Ring, .845HT, 195 MM, R2 Chamber AMAT Etch | |
2500 | Applied Materials 0200-35671 Support Cylinder AMAT RTP | |
2501 | Applied Materials 0200-35690 Susceptor, Solid Pin 200 MM Poly AMAT | |
2502 | Applied Materials 0200-35693 Blade, Ceramic Centura 5200 AMAT A.K.A. 0200-36679 | |
2503 | Applied Materials 0200-35882 Focus Ring AMAT Etch | |
2504 | Applied Materials 0200-36630 Plate Cover, Heater 8″ DXZ AMAT CVD | |
2505 | Applied Materials 0200-36679 Blade, Ceramic E HP-CR Robot AMAT Centura | |
2506 | Applied Materials 0240-31632 Kit, Wafer Pos.Sensor 29 Slot, 200 MM P-5000 | |
2507 | Applied Materials 0240-34027 EPD assy 4CH TYPE AMAT | |
2508 | Applied Materials 0240-37974 Kit, Clamp SACVD DXZ AMAT CVD | |
2509 | Applied Materials 0242-01308 KIT,300MM NON-ENP SLIT VALVE ACTUATOR RE AMAT | |
2510 | Applied Materials 0242-86045 Kit, H.O.T. Pack 365 NM AMAT Etch | |
2511 | APPLIED MATERIALS 0500-01036 CNTRL ELECTRONICS PKG GEN CALIBRATION AE 7″L X3.61 | |
2512 | Applied Materials 0500-01139 CNTRL DUAL ZONE PHASE ANGLE 208V 50/60HZ AMAT | |
2513 | Applied Materials 0660-01055 PCB, Backplane AMAT P-5000 | |
2514 | Applied Materials 0920-00049 GEN RF 13.56MHZ 3KW 208V SOURCE APEX 3013 AMAT | |
2515 | Applied Materials 1120-01071 OPT BIFURCATED BDL SPC 210/230N BICON AMAT | |
2516 | Applied Materials 1410-01154 Heater, 208VAC 1.5 w/SQ In AMAT | |
2517 | APPLIED MATERIALS 150MM, 200MM DPS DEEP TRANCH CHAMBER AMAT | |
2518 | Applied Materials 200MM CENTURA PVD SYSTEM AMAT | |
2519 | Applied Materials 200MM CVD CHAMBER WITH CERAMIC HEATER AMAT | |
2520 | Applied Materials 300mm BLADE &WRIST ASSY AMAT | |
2521 | APPLIED MATERIALS 300mm Ceramic heater 0040-07024, 0040-04048 AMAT | |
2522 | APPLIED MATERIALS 300mm Ceramic heater 0040-53976, 0040-04048 AMAT | |
2523 | APPLIED MATERIALS 300mm Ceramic heater 0040-84051, 0040-85475 AMAT | |
2524 | APPLIED MATERIALS 300mm Ceramic heater 0040-88188 AMAT | |
2525 | APPLIED MATERIALS 300mm Ceramic heater 0041-01652, 0040-04048 AMAT | |
2526 | Applied Materials 300MM ENDURA CONTROLLER AMAT | |
2527 | Applied Materials 300MM ENDURA PCII RESONATER AMAT | |
2528 | APPLIED MATERIALS 300mm Preclean XT Chamber AMAT | |
2529 | Applied Materials 300mm VHP ROBOT ARM AMAT | |
2530 | Applied Materials 3750-01112 TUBE PLASMA SOURCE SAPPHIRE 1/4VCR Astex AMAT | |
2531 | Applied Materials 3870-02202 Vaporizer, Lintec VU-106V-303-430 1/4 VCR, 1/8 VCR | |
2532 | Applied Materials 3930-01066 Controller-TC Temp Box, Leybold AG 200.81.080 | |
2533 | Applied Materials 5000 Standard Interface Robot AMAT Precision 5000 Mark II | |
2534 | Applied Materials 9010-02162 Beamline Exhaust Box AMAT Quantum | |
2535 | Applied Materials 9090-01393 LOOP CONTROLLER PXP41W AMAT | |
2536 | APPLIED MATERIALS A101 HEATER 8″ AMAT | |
2537 | Applied materials AE MDX-L12 DC POWER SUPPLY AMAT | |
2538 | Applied materials AE MDX-L6M-650 DC POWER SUPPLY AMAT | |
2539 | Applied materials AE PDX-900-2V AMAT | |
2540 | Applied Materials AFT-2202 TEOS AUTO FULL CABINET Ayer’s Rock corporation AMAT | |
2541 | APPLIED MATERIALS ALL ETCH FIB W/DUAL INSERTS,AMAT:0190-14983,C-H:84-31597-2 | |
2542 | Applied Materials AMAT / SVG A1850 DC Power Supply 859-0961-002 Rev C | |
2543 | APPLIED MATERIALS AMAT 0010-00813 Flatfinder Assembly 150 MM Stretch Endura | |
2544 | APPLIED MATERIALS AMAT 0010-01195 ASSEMBLY FAN, MODULE, 5 MF, CAP, DTCU, DPS | |
2545 | APPLIED MATERIALS AMAT 0010-01691 SAL LID ASSEMBLY TXZ | |
2546 | Applied Materials AMAT 0010-10082, ASSY, THROTTLE VALVE,WxZCVD, 0050-30385 | |
2547 | Applied Materials AMAT 0010-13703 Heater Assy 300MM ST 0010-23677-001 0020-23741 | |
2548 | Applied Materials AMAT 0010-17868 Hercules Lift Manipulator * 250 lbs Capacity | |
2549 | Applied Materials AMAT 0010-18024 300mm Radiance Pyro Pyrometer | |
2550 | APPLIED MATERIALS AMAT 0010-20211 REV F 24 V POWER SUPPLY ASSEMBLY | |
2551 | APPLIED MATERIALS AMAT 0010-20756 RF TUBE ASSY | |
2552 | APPLIED MATERIALS AMAT 0010-20806 EQ MAGNET ASSY, AMAT 0020-24562 | |
2553 | Applied Materials AMAT 0010-23716 ASSEMBLY PMAX PYROMETER R REV 002 | |
2554 | Applied Materials AMAT 0010-28569-001 XY-FR-E131042 | |
2555 | Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8″ Assembly NLT .060 (AS/IS) | |
2556 | APPLIED MATERIALS AMAT 0010-30612 MFA HEATSINK ADAPTER ASSEMBLY | |
2557 | Applied Materials AMAT 0010-42640 Rev 01 | |
2558 | Applied Materials AMAT 0010-43172-001 | |
2559 | Applied Materials AMAT 0010-43172RWK | |
2560 | APPLIED MATERIALS AMAT 0010-75207 ASSY ORIENTER LASER OPTICS | |
2561 | APPLIED MATERIALS AMAT 0010-76386 SOURCE ASSEMBLY 12.9 | |
2562 | Applied Materials AMAT 0021-53267 SHIELD Lower Monolithic FO | |
2563 | Applied Materials AMAT 0021-80332 Flux Optimizer Monolithic 3.10 | |
2564 | APPLIED MATERIALS AMAT 0040-20006 ARM, WELDMENT SOURCE STD OBSOLETE 0040-20006 | |
2565 | APPLIED MATERIALS AMAT 0040-20129 ASSY MAGNET | |
2566 | APPLIED MATERIALS AMAT 0040-21989 CHAMBER LID VIEW PORT | |
2567 | Applied Materials AMAT 0040-38858 REV. 004, 0041-10613 REV. 02 | |
2568 | APPLIED MATERIALS AMAT 0090-02567ASSY, RF/HIGH VOLTAGE MODULE, PHOTOMASK | |
2569 | Applied Materials AMAT 0090-06456 Producer Siconi GT Chamber Controller | |
2570 | APPLIED MATERIALS AMAT 0100-01422 WAVEGUIDE W/SIO ASSEMBLY | |
2571 | APPLIED MATERIALS AMAT 0100-76005 PCB ASSY, SERIAL/VIDEO/ DCN | |
2572 | APPLIED MATERIALS AMAT 0150-76206 CABLE ASSEMBLY MAINFRAME UMBILICAL | |
2573 | APPLIED MATERIALS AMAT 0190-09379 PCB ASSY SV21 SBC BOARD | |
2574 | APPLIED MATERIALS AMAT 0190-09467 USED CHAMBER B SERIPLEX BOARD | |
2575 | Applied Materials AMAT 0190-22248 PORT STANDART ROBOT SMP 300MM | |
2576 | APPLIED MATERIALS AMAT 0190-35773 MULTIPLEX I/O BOARD CH A | |
2577 | APPLIED MATERIALS AMAT 0190-78190 MDX-L6 ADVANCED ENERGY POWER SUPPLY | |
2578 | Applied Materials AMAT 0195-07163 Rev. F Anorad 102412-A | |
2579 | APPLIED MATERIALS AMAT 0200-10895 COLLAR, MIN. CONTACT 200MM SNNT, HDP-CVD | |
2580 | Applied Materials AMAT 0200-35956 DPS Poly Ceramic Dome | |
2581 | Applied Materials AMAT 0242-70274 Rev E6 Kit with 30 day warranty | |
2582 | APPLIED MATERIALS AMAT 0250-76914 PVD HEATER CORE | |
2583 | APPLIED MATERIALS AMAT 0920-01124 GENERATOR FLUORINE SOURCE ASTEX FI20620 “BAD” | |
2584 | Applied Materials AMAT 1/2″ Valve Assembly, 0190-06120 | |
2585 | APPLIED MATERIALS AMAT 1080-01130 MAGNET ROT MOTOR GEARBOX ASSY | |
2586 | APPLIED MATERIALS AMAT 1110-01025 MATCH M/W2.45GHZ 3 ASTEX FI20065 SMARTMATCH | |
2587 | Applied Materials AMAT 200mm -300mm Bridge Liner | |
2588 | APPLIED MATERIALS AMAT 24V P.S. ASSEMBLY 0100-93145 REV A 4225 | |
2589 | APPLIED MATERIALS AMAT 3750-01140 CPLGWAVEGUIDE 2450MHZ 15KW CUS ASTEX D13604 | |
2590 | Applied Materials AMAT 5000/5200 PDD Gas Box 200MM CVD With Kalrez Oring | |
2591 | APPLIED MATERIALS AMAT 903172-001 Liquid Source I/O PCB Board/Card 903171 | |
2592 | Applied Materials AMAT BOC EDWARDS EXT 255H 24V B753-01-991 VACUU | |
2593 | Applied Materials AMAT Ceramic Bearing, 0190-08248 | |
2594 | Applied Materials AMAT Chemical Flow Meter, 0620-02873 | |
2595 | Applied Materials AMAT Chemraz O-Ring, 3700-00414 | |
2596 | APPLIED MATERIALS AMAT DC POWER SUPPLY +/- 15 VDC 24V | |
2597 | Applied Materials AMAT Endura 300mm MO-CVD MOCVD AxZ CVD Al Chamber | |
2598 | Applied Materials AMAT Endura 300mm MO-CVD MOCVD AxZ CVD Al Chamber | |
2599 | APPLIED MATERIALS AMAT ENDURA CUBS CLEAN AMPOULE 0010-32021 | |
2600 | APPLIED MATERIALS AMAT ENDURA HIGH EFFICIENCY BIAS RF MATCH 0010-13627 | |
2601 | Applied Materials AMAT FDT CE LCVME-10x LOOP CONTROLLER 0660-90102,used,working | |
2602 | Applied Materials AMAT Flow Assembly, 0190-04669 | |
2603 | Applied Materials AMAT Flow Switch Assembly, 0150-09623 | |
2604 | Applied Materials AMAT Fujikin Valve Man., 0050-32147 | |
2605 | APPLIED MATERIALS AMAT GLASSMAN HIGH VOLTAGE DECEL PSC 0240-96154 0090-91434 | |
2606 | APPLIED MATERIALS AMAT GLASSMAN HIGH VOLTAGE DECEL PSC 0240-96561 0090-91434 | |
2607 | Applied Materials AMAT Granville-Phillips Gauge Controller 352001 , 0500-01076 | |
2608 | Applied Materials AMAT H2 Flow Switch Assy, 0090-01356 | |
2609 | Applied Materials AMAT Heater Lift Assy | |
2610 | APPLIED MATERIALS AMAT IMPLANTER 9500 XR80 0090-91698 XR30H POWER SUPPLY | |
2611 | Applied Materials AMAT In-Line Gas Filter, 4020-00080 | |
2612 | Applied Materials AMAT Ionizer Controller, 0500-00138 | |
2613 | Applied Materials AMAT Kalrez O-Ring, 3700-05860 | |
2614 | Applied Materials AMAT Manifold Assembly, 0010-16291 | |
2615 | Applied Materials AMAT Microwave Assy, 3750-01130 | |
2616 | Applied Materials AMAT MKS Butterfly Throttle Valve, 3870-02311 | |
2617 | Applied Materials AMAT Modified Bellows, 0020-11931 | |
2618 | APPLIED MATERIALS AMAT MXP OXIDE CHAMBER WALL 0020-36984 | |
2619 | Applied Materials AMAT N2 Purge Manifold, 0190-12164 | |
2620 | Applied Materials AMAT Onoda Ozone Generator OR-4ZA 0920-01016 | |
2621 | Applied Materials AMAT OPAL MEC 0650-A0170 CCS/CVC/ETD/DVD/MIS2/SRA3/HDF Working | |
2622 | APPLIED MATERIALS AMAT OPAL SEMVISION CX TILT THETA CONTROLLER | |
2623 | Applied Materials AMAT P5000 Flow Assembly, 0010-01548 | |
2624 | Applied materials AMAT part fitting GP ion gauge, convectron gauge, air valve | |
2625 | Applied Materials AMAT PCII Insulator, 0020-24100 | |
2626 | Applied Materials AMAT PN: 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe | |
2627 | APPLIED MATERIALS AMAT POWER SUPPLY 0010-23802-003 101143-04 | |
2628 | Applied Materials AMAT PP Manifold Assembly, 0010-77185 | |
2629 | Applied Materials AMAT Pressure Gauge Assy, 3310-01249 | |
2630 | Applied Materials AMAT Pressure Switch, 0090-01357 | |
2631 | Applied Materials AMAT Pressure Switch, 1270-00140 | |
2632 | Applied Materials AMAT Regulator 43900884EV, 3800-01093 | |
2633 | Applied Materials AMAT STEC Valve Assembly, 3870-02553 | |
2634 | Applied Materials AMAT STP-1301C1 furbomolecular pump / BOC EDWARDS | |
2635 | Applied Materials AMAT Susceptor BWCVD 5000 T1 8″ P/N 0010-09962 – | |
2636 | Applied Materials AMAT Susceptor, 0200-01947 | |
2637 | Applied Materials AMAT SWLL Feedthrough, 0040-03508 | |
2638 | Applied Materials AMAT Valve Man., 3870-01814 | |
2639 | Applied Materials AMAT Valve Manifold, 0050-00462 | |
2640 | Applied Materials AMAT VAT 15028-FA24-1002, 0190-02238 | |
2641 | Applied Materials AMAT VCR Valve Weldment, 0050-81387 | |
2642 | Applied Materials AMAT Veriflo Valve Man., 0050-84735 | |
2643 | Applied Materials AMAT Water Flow Switch, 0090-00953 | |
2644 | Applied Materials AMAT Water Manifold, 0010-03678 | |
2645 | APPLIED MATERIALS AMAT YASKAWA ROBOT CONTROLLER XU-CN0240A | |
2646 | APPLIED MATERIALS AMAT YASKAWA ROBOT CONTROLLER XU-CN1110A | |
2647 | APPLIED MATERIALS AMAT YASKAWA XU-RCM6901 WAFER LOADER ROBOT | |
2648 | Applied Materials AMAT, Saint Gobain, DI Valve Maniforld 1110067-010, 0190-10432 | |
2649 | Applied Materials AMAT, STD PVD DEGAS QUARTZ VIEWPOINT LID, p/n 0010-19026 | |
2650 | APPLIED MATERIALS AMAT0100-20173 wPCB ASSY, ANALOG INPUT/ OUTPUT | |
2651 | Applied Materials ASP CHAMBER BODY AMAT | |
2652 | Applied Materials AX2115 Microwave generator AMAT | |
2653 | Applied Materials Bracket, Magnet Assy 0020-01999 / Rev 005 / from 300mm Chamber | |
2654 | Applied Materials Bracket, Magnet Assy 0020-01999 / Rev 006 / from 300mm Chamber | |
2655 | Applied Materials Centura I PH2 IPS system AMAT | |
2656 | Applied Materials Centura IPS Chamber (ceramic ESC Include) AMAT | |
2657 | Applied Materials Centura WXZ Chamber AMAT | |
2658 | Applied Materials CERAMIC SHOWER HEAD AMAT | |
2659 | APPLIED MATERIALS CHILLER, ASSY, 300MM, SICONI CLEAN AMAT 0010-27929 | |
2660 | APPLIED MATERIALS CRC 200MM Ceramic heater AMAT | |
2661 | APPLIED MATERIALS CTI 9600 COMPRESSOR AMAT | |
2662 | Applied Materials CVD CHAMBER AMAT | |
2663 | Applied Materials CVD CHAMBR BODY AMAT | |
2664 | Applied Materials DPS Cooling base 0040-37265 AMAT | |
2665 | Applied Materials DPS poly Dome Assy AMAT | |
2666 | Applied Materials EBARA DRY PUMP A07V-S CHILLER AMAT | |
2667 | APPLIED MATERIALS EBARA DRY PUMP A30W CHILLER AMAT | |
2668 | Applied Materials EBARA DRY PUMP A30W-N CHILLER AMAT | |
2669 | Applied Materials EBARA ET300WS TURBO PUMP ASSY AMAT | |
2670 | Applied Materials EBARA TURBO PUMP ET800H AMAT | |
2671 | Applied Materials EDWARD HIGH VACCUM INTL CONTROLLER qdp40 qmb250 AMAT | |
2672 | APPLIED MATERIALS Endura Configurable Main AC Transformer AMAT | |
2673 | Applied Materials ENDURA ICP SOURCE ASSY AMAT | |
2674 | Applied Materials ENDURA Watercool HTHU Chamber PVD AMAT | |
2675 | Applied materials ENI OEM 1250 RF GENERATOR AMAT | |
2676 | Applied Materials ENI OEM-6B3(08) RF GENERATER AMAT | |
2677 | APPLIED MATERIALS ERARA A30W DRY PUMP AMAT | |
2678 | Applied Materials Frame, 0040-60456 / Rev 006 / BLF 0207 / V357 / from 300mm Cha | |
2679 | Applied Materials Frame, 0040-60456 / Rev 006 / BLF 0908 / V420 / from 300mm Cha | |
2680 | Applied Materials Fujikin Valve Manifold, 0010-25773 | |
2681 | Applied Materials G3 Magnet Assy, 0010-01198, Used | |
2682 | Applied Materials G3 Magnet Assy, 0010-21940 | |
2683 | Applied Materials G3 Magnet Assy, 0010-21940, Used | |
2684 | Applied Materials Gear Head / 0020-26089 / Rev 001 / BLF / from 300mm Chamber Li | |
2685 | Applied Materials Heater, 300mm Hi Temp, 0010-27430, Used, Cleaned | |
2686 | Applied Materials Heater, 300mm SLT, 0010-22985, Used | |
2687 | Applied Materials Heater, 300mm SLT, 0010-24456, Used | |
2688 | Applied Materials HNA 37291-1405Z-001 300mm Gate Valve AMAT | |
2689 | Applied Materials HP ROBOT ARM SETS AMAT | |
2690 | Applied Materials HX150 CHILLER AMAT | |
2691 | Applied Materials INFAB 200 LPTSL SMIF AMAT | |
2692 | Applied Materials IPS CHAMBER & generater rack AMAT | |
2693 | Applied Materials IQDP 40 dry pump EDWARDS AMAT | |
2694 | APPLIED MATERIALS LEYBOLD 340 MCT TURBO PUMP AMAT | |
2695 | APPLIED MATERIALS LEYBOLD MAG 400 TURBO PUMP AMAT | |
2696 | Applied Materials LEYBOLD TURBOVAC 1000C TURBO PUMP AMAT | |
2697 | Applied Materials Load Lock Cassett Handler A&B 200mm AMAT | |
2698 | Applied Materials Magnet Assy, 0010-20223, Used | |
2699 | Applied Materials Magnet, 0010-03465 / 300mm / Applied Materials / AMAT / Magnet | |
2700 | Applied Materials Magnet, 0010-03488 / 300mm / Applied Materials / AMAT / Magnet | |
2701 | Applied Materials mirra cmp fabs AMAT | |
2702 | Applied Materials Mirra CMP Part AMAT | |
2703 | Applied Materials Model:AWG2/R-VIA AMAT | |
2704 | Applied Materials Module, Valve, 0021-19896 / Rev 003 / LTP / from 300mm Chambe | |
2705 | Applied materials NOAH PRECSION LAM RCM 910- RCM- LAM2 AMAT | |
2706 | Applied Materials NSK DRIVER ESA J2006 CF 3-11 AMAT | |
2707 | Applied Materials Orbot Model ATOC -3262PA AMAT | |
2708 | APPLIED MATERIALS ORIENT DEGASS CHAMBER TC TYPE AMAT | |
2709 | Applied Materials P-5000 CVD Teos Ampule AMAT | |
2710 | Applied Materials PE2500 AMAT | |
2711 | Applied Materials Pedestal Integration Box 0010-24655 * AMAT 0090-02830 | |
2712 | Applied Materials Plate, Source Frame / 0040-47830 / Rev 004 / BLF / from 300mm | |
2713 | Applied Materials Praxair Ampoule Bubbler, 0195-02636 | |
2714 | APPLIED MATERIALS PRODUCER POSITION SENSOR AMAT | |
2715 | Applied Materials RF POWER PRODUCTS LF-10 AMAT | |
2716 | Applied Materials RFPP RF-5S RF Generater 500W 13.56Mhz AMAT | |
2717 | Applied Materials Rrame, 0040-60456 / Rev 006 / BLF 3108 / V496 / from 300mm Cha | |
2718 | Applied Materials SEIKO SEIKI STP- H200CV TURBO PUMP AMAT | |
2719 | Applied Materials SPM-35-6P-10 HITACHI UVAS SLIP RING OEM AMAT | |
2720 | Applied Materials STD Cool down Chamber nickel coated AMAT | |
2721 | Applied Materials STP-H1301C TURBO PUMP AMAT | |
2722 | Applied Materials STP-H600C1 TURBO MOLECULER PUMP EDWARDS AMAT | |
2723 | Applied Materials TCU 40/80 PLUS CHILLER EDWARDS AMAT | |
2724 | Applied Materials Teos Ampule, Assy AMAT P-5000 | |
2725 | APPLIED MATERIALS TITAN PROFILER HEAD CMP MIRRA POLISHER AMAT | |
2726 | Applied Materials VAT 02010-BA24 1010 Valve AMAT | |
2727 | Applied Materials VAT 0340X-CA24-BMP2 / Valve 0075 AMAT | |
2728 | Applied Materials VC-4900 MERR RANGE 750 SCCM AMAT VDS H2O VAPOR | |
2729 | APPLIED MATERIALS VERASEM 3D | |
2730 | APPLIED MATERIALS, AMAT / 0040-39662 REV 003, 30056500-153-001 / RF MATCH | |
2731 | APPLIED MATERIALS, AMAT / 5000 ETCH, 0010-30342 / RF MATCH POLY MXP PLUS | |
2732 | APPLIED MATERIALS, AMAT / P-5000 MXP+, 0010-09416 / RF MATCH | |
2733 | Applied Materials, AMAT 0010-21403, PVD Magnet | |
2734 | APPLIED MATERIALS, AMAT 0010-21668 PVD magnet. | |
2735 | Applied Materials, AMAT 0100-09002, VME SBC – Refurbished | |
2736 | APPLIED MATERIALS, AMAT RF MATCH , SIMPLE CAT , 0010-36162W | |
2737 | Applied Materials, AMAT, PC Board, Chamber B & D, p/n 0100-76037 | |
2738 | Applied Materials, AMAT, PCB 4-INPUT 5-OUTPUT PID TEMP CONTROL, p/n 0660-01722 | |
2739 | Applied Materials, AMAT, PVD, Endura 0010-76136 ASSY, WAFER LIFT HTHU HEATER | |
2740 | Applied Materials, AMAT, TARGET – CO 5N 200MM ALPS | |
2741 | APPLIED MATERIALS, RG-578, COLLAR EXTEND | |
2742 | APPLIED MATERIALS, RG-579, 8in, COVER, EXTENDED E-CHUCK | |
2743 | Applied materials/ AMAT 0041-62587 GAS BOX FOR CENTER FLOW | |
2744 | Applied Materials/ MKS-CIT Laser Scribe Backplane Assy AS00668-01 With Card | |
2745 | Applied Materials/AMAT 0010-19010 MOTORIZED LIFT 300MM w/TILT GearBox 0190-07643 | |
2746 | Applied Materials/AMAT PN: 0010-00171 Gate Valve Actuator Assembly | |
2747 | Applied Materials0040-02149 HEATER, COMMON 8″ LONG SHAFT ALN – HA12 AMAT | |
2748 | applied metarials amat 0010-H0110 stage | |
2749 | APPLIED, AMAT / C-5200-POLY-15695-12, 0010-09416R / RF MATCH PHASE Ⅳ | |
2750 | ASSEMBLY, HEATER, 200MM MGF2 COATED, NGK, 0010-05190(0190-04207) | |
2751 | ASSY BEFFER BLADE HP PLUS HTHU 200MM, 0010-75512 | |
2752 | Assy Susceptor F.Welded 320*352mm / 0010-72874 | |
2753 | Assy Susceptor F.Welded 370*470mm / 0010-66662 | |
2754 | ASTEX 80-S09-uw 1.5-2.5 MHz SSD AMAT# 0190-01292 **MOVING SALE** | |
2755 | Astex ARX-X248, 13.5 Mhz Solid State Driver AMAT 0190-18146 Used **MOVING SALE** | |
2756 | ASTRONex MKS Instruments FI80131 Plasma Source 1288 hours AMAT 0920-00057 Tested | |
2757 | ASTRONex MKS Instruments FI80131 Plasma Source 2788 hours AMAT 0920-00057 Tested | |
2758 | ATS CHILLER ADVANCED THERMAL SCIENCES MP40B-GL APPLIED MATERIALS AMAT | |
2759 | Aviza WJ 914252-001 WJ 999 or 1000 CVD Belt, Length 20 feet Width 9 1/4 inch | |
2760 | Baldor BSM80A-375BA Brushless AC Servo Motor with AKN 60 AMAT 0040-49320 Used | |
2761 | Bay Voltex Chiller | |
2762 | Bayside GM090-C2A1B-001, 971041, Gear motors | |
2763 | Bayside GM090-C2A1B-001, 971041, Gear motors | |
2764 | Bayside GR115-K1E1B-001, 0150-111964, Gear motors, 100:1 ratio, 10A | |
2765 | Berkeley process control GM08-C-A-00-N : 06-D-L-08-A, motors, 3600 RPM | |
2766 | Berkeley Process Controls ASM121-A-0/B-22-NB/10, AC Brushless Servo Motor | |
2767 | Berkeley process controls ASM-81-A-0/A-00-LB/10/6:1, 950614 Motors, 5000 RPM | |
2768 | Berkeley process controls ASM-81-A-0/A-00-LB/10/6:1, 950614 Motors, 5000 RPM | |
2769 | Berkeley process controls ASM-81-A-0/A-00-LB/10/6:1, 950614 Motors, 5000 RPM | |
2770 | Berkeley Process Controls GM12BA16N AC Servo Motor, 9:1 ratio gearhead, 09DL12A | |
2771 | Berkeley Process controls MIO-A-4-602 ANALOG CURRENT, 4 point input | |
2772 | Berkeley Process Controls TS-3200-BR Monitor IPEC Speedfam Novellus 966041 | |
2773 | Berkeley process USA2-22-35, 950608, Multi axis servo amplifiers BAM | |
2774 | Bohlin BR-CSM, Rheometer, controlled stress Rheometer, CS-MELT | |
2775 | Brooks 002-5860-01, Card, Theta Driver, Brooks, ATR7, Novellus 27-155081-00 | |
2776 | Brooks 002-9510-155, Automation Kit, Robot Atr8, 2 Foup Novellus 04-172106-00 | |
2777 | BROOKS 02110-BA24-AJY1 002-4037-02 (#1) TRANSFER MODULE BACKBONE ASIS | |
2778 | BROOKS 02110-BA24-AJY1 003-9300-78 (#2) TRANSFER MODULE BACKBONE ASIS | |
2779 | Brooks 110884 Arm, ATR7, Rear Tube Map, Omron Amp, Novellus 63-266699-00, 321212 | |
2780 | Brooks 6-0002-0705-SP Robot WTM-511-2-FWS02-V1 End Effector AMAT 0190-08245 Cu | |
2781 | Brooks 6-0002-0706-SP Robot WTM-511-2-FWS02-V1-CU End Effector 0190-08246 Used | |
2782 | Brooks 6-0002-0706-SP Robot WTM511-2-FWS02-V1-CU w/End Effector 0190-08246 | |
2783 | Brooks 6-0002-0706-SP Robot WTM511-2-FWS02-V1-CU AMAT 0190-08246 Copper Used | |
2784 | Brooks Automation 6-0000-4663-PC Wafer Robot AMAT 0195-02883 Copper Exposed Used | |
2785 | Brooks Automation 6-0001-0817-SP Linear Robot Track LTRA 042-SBI SEMVision Used | |
2786 | Brooks Automation 6-0002-0705-SP Robot WTM-511-2-FWS-02-V1 AMAT 0190-08245 Used | |
2787 | Brooks Automation 6-0002-0706-SP Wafer Robot WTM AMAT 0190-08246 Copper Used | |
2788 | Brooks Automation 6-002-0705-SP Robot WTM511-2-FWS02-V1 AMAT 0190-08245 Used | |
2789 | Brooks Automation WTM-511-2-FWS02-V1 Wafer Handling Robot AMAT 0190-08245 Used | |
2790 | Cable (AMAT) 0620-01682 CABLE CONTROL 20M TMP SIDE 90DEG PWR SIDE ST | |
2791 | CDX-2000 Comdel FP5320R3 Dual RF Generator AMAT 0190-15399 Used Tested Working | |
2792 | Comdel CDX-1000 13.56MHz/2MHz Dual Fre RF Generator AMAT PN: 0190-07242 | |
2793 | Comdel CMX30 RF match network, 13.56 MHz, 10kW, PECVD, Novellus 27-265049-00 | |
2794 | Comdel CPS-1001/13 RF generator 13 56 MHz, 3 phase AM 1168 CPS-1001 0920-01006 | |
2795 | Comdel CPS-1001/13 RF generator 13 56 MHz, 3 phase AM 762 CPS-1001 | |
2796 | Comdel CPS-1001/60 RF generator Novellus R04-716707-01 , 3 phase, 50-60 HZ | |
2797 | COMDEL INC. CPMX-2500, Match Pro, RF Matching Network, FP2307R1 Novellus 21-2405 | |
2798 | Crossing Automation Asyst 9701-2149-02 End Effector Assembly Kit, 9701-1433-03 | |
2799 | Crossing Automation Asyst 9701-2998-01 End Effector, Robot blade, Looks | |
2800 | CTI 8031186G001 Cryo 8500 compressor | |
2801 | CTI Helix 8129948G001 Cryo Onboard controller + Varian V 700 HT Turbo controller | |
2802 | CWxZ Ceramic Heater, 200MM, 0040-46818(ASSY 0010-10252) | |
2803 | CX-2000 Comdel FP3365RA RF Generator CV2000 AMAT 0190-31017 Used Tested Working | |
2804 | DAIHEN RF Generator RGA-400 A PLASMA PECVD PVD AMAT APPLIED MATERIALS | |
2805 | Dainippon SEPC-0065 TU controller, 2-VC-13234 | |
2806 | DCG-200E OPTIMA ENI DCG-400E-0J DC Generator MASTER 0190-22568 Tested Working | |
2807 | DCG-200Z ENI DC21M-Z041300110A DC Plasma Generator MASTER Interlock Fault As-Is | |
2808 | DCG-200Z ENI DC22S-Z022000010A Plasma Generator Rev. A 0190-08034 Tested Working | |
2809 | DCG-200Z ENI DC22S-Z022000010A Plasma Generator Rev. C 0190-08034 Tested Working | |
2810 | DCG-200Z ENI DC22S-Z022000010A Plasma Generator Rev. E 0190-08034 Tested Working | |
2811 | DCG-200Z ENI DC22S-Z022000010A Plasma Generator Rev. F 0190-08034 Tested Working | |
2812 | Delta design 1666339 Microstepper ITR PCB assy and 1698421-104 daughter board 2 | |
2813 | Deublin 20003-100 Rotary Union Applied Material AMAT 0760-01037 | |
2814 | Deublin 20003-500 Rotary Union Applied Material AMAT 0190-11598 | |
2815 | Digital dynamics 27-10157-00 I/O controller IOC ver 4.1 | |
2816 | DREAM TECH DT-VPC-1 HIGH ACCURACY OOPTIC SCREEN PRINTER [ASIS] | |
2817 | DREAM TECH DT-VPV HIGH ACCURACY OOPTIC SCREEN PRINTER [ASIS] | |
2818 | DUEBLIN 20004-775 6-PORT/ PASSAGE ROTARY UNION APPLIED MATERIALS 0190-31268 AMAT | |
2819 | Ebara A150W-M | |
2820 | Ebara ET300W, Turbo pump controller, AET08-4391, very clean, 303W REM01 PWM-15M | |
2821 | Ebara TURBO PUMP, ET 1600, REFURBISHED | |
2822 | Electro Scientific Ind ESI 61322 B.P. High Voltage Power supply | |
2823 | Electro scientific instruments SC15008 AC power control unit, 76890 | |
2824 | Endura HP Robot Driver Upper/Lower 0010-70264 | |
2825 | ENDURA P036 PEDESTAL LIFT ASSY PRECLEAN II 0010-20754 AMAT APPLIED MATERIALS | |
2826 | ENI DCG-200Z Optima, Novellus R27-299465-00 RF Generator, Slave, 20KW, DCG.DC | |
2827 | ENI MKS DCG-200ZHC-S02, Generator, Slave, 20.0 KW, DCG Novellus R27-299485-00 | |
2828 | ENI OEM12B-01 RF generator, tested, with calibration sheet | |
2829 | ENI RF Generator OEM-12B-02 RF Power Supply AMAT 0190-70080 | |
2830 | Epi Susceptor 150Mm R3 Rot No Center 0200-36762 | |
2831 | Epichem 400S Aviza 815019-715 Metal organics bubbler ampoule with swagelok valve | |
2832 | EQUIPE technologies TRA-036-SBI Robot Linear Stage | |
2833 | ERCR-SS33-B000 / YASKAWA ROBOT CONTROLLER & POWER CABLE / APPLIED MATERIALS AMAT | |
2834 | ETO ABX-A434 RF Generator Controller ABX-X355 PCB Board AMAT 0190-36677 Used | |
2835 | ETO RF Generator Controller, ABX-X355 Rev.H, AMAT 0190-02977, ABX-X385 Astex HDP | |
2836 | FILLER HOUSING, SDLIT VALVE, INSERTS AMAT 0021-00279 | |
2837 | Glassman PS/ER06N25.0YZ4 6kV Power Supply AMAT 9090-00473ITL Used Working | |
2838 | Glassman PS/ER06N25.0YZA 6kV Power Supply AMAT 9090-01265ITL Used Working | |
2839 | Glassman PS/ER06N25.OYZ4 6KV 25MA Power Supply Series ER AMAT 9090-00473 Used | |
2840 | Glassman PS/ER06N25.OYZ4 6KV 25MA Power Supply Series ER AMAT 9090-01265 Used | |
2841 | Green Tweed Chemraz O-RING, AMAT 3700-04738 | |
2842 | HEATER, ASSY, 8″ JHT .029 AMJ WXZ, 0010-03345M | |
2843 | HiTek +35kV OLS10K High Voltage Power Supply E19299291 Advanced Energy Varian AE | |
2844 | HVA 21210-0256Z-002 Novellus 19-147080-00, 2.5″ High temp gate vale | |
2845 | iAMAT P/N 0015-20115 PVD CHAMBER REFLOW | |
2846 | ICT 932303 Ion Assembly SV300 AMAT 50409050000 SEMVision cX Used As-Is | |
2847 | Imtec Acculine 10-000-0126 OZ series accubath,constant temperature, QZ-A1252 00R | |
2848 | Indramat 222721 Servo MAC112C-0-ED-1-B/130-A-0/-I00625/S005 AMAT 1080-90009 Used | |
2849 | Indramat Power Supply TVM 1140-90022, dc servo drive AMAT 9000 9200 9500 implant | |
2850 | Indramat Spin Amp Controller TDM 0540-90014, servo AMAT 9000 9200 9500 implant | |
2851 | JX NIPPON MOLYBDENUM (MO) TARGET APPLIED MATERIALS 0190-54387 AMAT 6.16″ X 0.35″ | |
2852 | Kensington port WH4, Robot assembly WFH4Cm.TT/LR 04-6288-01-08 EMP | |
2853 | Kepco 26248 Novellus 27-272441-00 PVD Power Supply | |
2854 | Kepco 26372, Programmable Power Supply with 9 DC outputs, Novellus 27-272441-00 | |
2855 | Kernco Instruments Wafer Contact angle goniometer Tool for Surface Tension | |
2856 | Kollmorgan MT1506B1-E2C1 Goldline servo motor, 968601, Novellus | |
2857 | Komatsu 20010120 Energy Saving Chiller AKX-3000-V AMAT 3380-00034 | |
2858 | Komatsu 20010210 Energy Saving Chiller AK-1000 AMAT 3380-00033 | |
2859 | Lam Research RING, FOCUS, MAJOR FLAT, ESC 716-028249-066 | |
2860 | Lambda 48-48, Power Supply, 48V, 20.5A, LFS4848 Novellus QT330136 | |
2861 | LAMBDA LFS39-12, Power supply, 12VDC Power supplies | |
2862 | Lamp Driver SCR Control MODEL P1191D / 0190-17464 | |
2863 | LARGE RARE ART NOUVEAU ORIGINAL PAINTING – M. WEINER – BLUEBELLS JUGENDSTIL 1902 | |
2864 | Leybold 844235 COOLVAC Power Supply AMAT Applied Materials 0190-03798 | |
2865 | LINX 7300 IP55 P732 INKJET PRINTER [ASIS] | |
2866 | Lot AMAT Applied Materials DP-COMP CAGE ASSY 0090-A1230 7 Cards Power Control | |
2867 | Lot AMAT Applied Materials DP-COMP CAGE ASSY 0090-A1240 7 Cards Power Control | |
2868 | Lot of 2 Applied Materials AMAT Centura Endura RPECISIO Chamber Frame 0040-84804 | |
2869 | Lot of 2 Infranor SMTAS 220/17 657170 Brushless servo motor amplier | |
2870 | Lot of 2, AMAT 0040-33499, 300mm Preheat Degas module, looks unused, | |
2871 | Luxtron Model 1104, AMAT 1100-01002 Monochromator, PC end point system | |
2872 | MDX Pinnacle AE Advanced Energy 0190-25721-001 Power Supply AMAT Tested As-Is | |
2873 | MDX Pinnacle AE Advanced Energy 0190-25964-001 Power Supply Used Tested Working | |
2874 | MDX Pinnacle AE Advanced Energy 0190-26287-001 Power Supply Used Tested Working | |
2875 | MDX Pinnacle AE Advanced Energy 3152326-113B Power Supply Used Tested Working | |
2876 | Mico AMAT Applied Materials 0190-23942 300mm Ultima DPS ESC Chuck 4716635-001 | |
2877 | MIRRA Slurry / Chemical Pump Management Module Applied Materials AMAT | |
2878 | MKS 148365-07XX11-017198536 AMAT MULTI-ZONE FLOW REGULATOR UPA 300mm REFL | |
2879 | MKS 253B-11102 WJ / Aviza CFC exhaust throttle valve, looks clean | |
2880 | MKS AS00341-02 AMAT Applied Materials 0190-12493 FAST DATA GATEWAY | |
2881 | MKS Throttle valve 683B-26112, Type 683 control valve, AMAT 3870-04465 | |
2882 | MT1506B1-E2C1 Servomotor, goldline series, Ipec novvelus 968601 | |
2883 | MVME 110-1/AMAT Applied Materials 0100-11018 Motorola | |
2884 | Mykrolis SB110202KU Throttle valves with KF40 flage | |
2885 | NESLAB HX-150 CHILLER | |
2886 | Nikon 4S587-241 Linear Motor Controller SPA431B NSR System Used Working | |
2887 | Nor-cal Intellisys Pendulum Valve, 3870-04597, AMAT Throttle Butterfly Valve | |
2888 | Novellus 02-259216-00 Assembly, plating control box, conan, R02-259216-00 | |
2889 | Novellus 02-266279-00 Rebuilt GAMMA 2130, RF Match 8 Bolt, Kalrez with cal sheet | |
2890 | Novellus 03-299425-00 Backside Wafer handling Interface xPRS PCB. FAB 26-299425 | |
2891 | Novellus 150-000-057, 950827 Drive assy w ASM81-B & Brecoflex T10/980 belt | |
2892 | Novellus 27-128501, Varian VPW 2870E4 Multi range DC Sputtering Power Supply | |
2893 | Novellus 27-263706-00, SIOC, I/O module, Ver 4.72, PNL | |
2894 | Novellus 30-121470-02 Ring, electromagnet assembly | |
2895 | Novellus 94-34505-60 PCB board, I/O genus, 1569-04 | |
2896 | Novellus A95-145-01 Flushmount window assembly | |
2897 | Novellus Cu, 200mm ceramic ring 196mm cwp low profile 02-130754-00 | |
2898 | Novellus R 02-028522-00, System Control Assembly, 16 MEG | |
2899 | Novellus R02-341002-00, Ion Source Match Network | |
2900 | NSK ELC-JG014VF3-01 300mm XP Robot Controller ELC AMAT 0190-19536 Used Working | |
2901 | NSK EM0404AF1-05, Servo controller | |
2902 | NVLS, 06-54749-01 | |
2903 | OEM Part (AMAT) 0010-10079 5000 WxCVD Drive Assy Heater Lift UNTESTED | |
2904 | OEM Part (AMAT) 0010-20286 ASSY, INDEXER RIGHT AUTOMATED LOAD LOCK Endura | |
2905 | OEM Part (AMAT) 0010-70403 ASSY, G-12 AFS LID PVD | |
2906 | OEM Part Applied Materials (AMAT) 0040-48594R ESC, TESTED, 300MM DUAL HE, HDPCVD | |
2907 | ON BOARD 8F , CRYO PUMP | |
2908 | Opal 3061255000 MIS2 PCB Board AMAT SEMVision cX Used Working | |
2909 | Opal 320-250326 System Control SBC Board Assembly AMAT SEMVision cX Used Working | |
2910 | Opal 50312403000 Controller Chassis CDM DR-300 AMAT SEMVision cX Used Working | |
2911 | Opal 50312540100 DVD PCB Board AMAT SEMVision cX Used Working | |
2912 | Opal 50412570 ETD PCB Board AMAT SEMVision cX Used Working | |
2913 | Opal 70512527 CVC PCB Board AMAT Applied Materials SEMVision cX Used | |
2914 | OPHIR POWER AMPLIFIER MODEL XRF373-001 AMAT PWR AMPLIFIER RF 20W 0500-A0181 | |
2915 | Parker GT6, AMAT 0125-112453, Gemini stepper motor drive controller | |
2916 | port 15-3701-1425-25 300MM Wafer Transfer Robot AMAT 0190-19124 Used Working | |
2917 | Port 15-3701-1425-25 Wafer Transfer Robot AMAT 0190-19124 Copper Exposed Used | |
2918 | port 15-3702-1425-26 Wafer Robot AMAT 0190-22250CW Copper Exposed Refurbished | |
2919 | port 35-3700-1425-18 Interface Block Robot Assembly AMAT Centura 300mm Used | |
2920 | port 35-3700-1425-18 Wafer Transfer Robot AMAT 0190-22248 Used Working | |
2921 | port Kensington 15-3702-1425-25 Wafer Transfer Robot 0190-22248CW Refurbished | |
2922 | port Kensington 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Cu Used | |
2923 | port Kensington 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Used | |
2924 | port Kensington Robot 15-3702-1425-25 Applied Materials AMAT 0190-22248CW | |
2925 | PORTER LCB-002, AMAT 0190-35928 LMFC 1.2 l/min, CUPRA 2504 fluid, 322342 | |
2926 | Radisys 859-8379-001 PCB assy with 851-8554-003 SBX/STD BUS and 68-0056 | |
2927 | Reiken Keiki instruments GD-K77D Aviza 815018-765 RKI Gas detector 0.6 PPM | |
2928 | RF Systems (AMAT) 0010-22225 ASSY, HIGH EFFICIENCY RF MATCH, REACTIVE | |
2929 | RFPP 7520581010, RFPP AE RF50S 5KW RF power products | |
2930 | Robot (AMAT) 0010-35004 HTF WRIST ASSEMBLY with 0020-70285 paddle Endura EHP-CR | |
2931 | RORZE RR700L1528-3A3-131-1 Wafer Transfer Robot + CURR-2961-0 controller & lift | |
2932 | Seiko Seiki STPH1301L1B Turbo controller, STP control unit, with data sheet | |
2933 | Sekidenko 2100, 27-289250-00, AE Advanced energy optical fiber Thermometer | |
2934 | SEMICONDUCTOR AMAT P5000 Loadlock Chamber Assembly PN: 0010-70064 | |
2935 | Semitool (AMAT) Raider Lift-Rotate Assembly with ECD | |
2936 | SEMITOOL 610T0348-01 | |
2937 | Semitool RAIDER 300mm Drive Head Motor (AMAT / Semitool #: 220T0073-100) | |
2938 | Seren AT6 RF Match Network 2MHz 600W AMAT Applied Materials 9400000084 Matching | |
2939 | SMC INR-498-P002 Heat Exchanger AMAT Applied Materials 0190-18418 | |
2940 | SMC ITV2031-31N3N4-X97 & AMAT 0010-14714 0100-01222 0040-46895 0020-16859-002 | |
2941 | SMC US13394 Slit Valve Pneumatic Cylinder AMAT 3020-00077 Gate 0040-41892 Used | |
2942 | Spectrum B-5002 ENI B-5002-01 RF Generator AMAT 0920-00062W Used Tested Working | |
2943 | Spectrum B-5002 ENI B-5002-01 RF Generator Rev. E AMAT 0920-00062 Refurbished | |
2944 | Sumitomo SU-01C72 Aviza 815008-418 Ozone generating unit | |
2945 | SVG 879-0262-001 Shutter interlock control PCB 859-0727-010E | |
2946 | SVG 914224-001 Gas, Mini, Right angle, ASML AVIZA, WJ | |
2947 | SVG 99-80266 Station CPU resist coater ASML litho | |
2948 | SVG 99-80266 Station CPU resist coater ASML litho, bag | |
2949 | Synergy Microsystems 0090-76110 V21 VME PCB Card AMAT Precision 5000 Refurbished | |
2950 | TDK Corporation TAS300 300mm Wafer Load Port Type F1 AMAT 0190-11409 Used | |
2951 | TDK Corporation TAS300 300mm Wafer Load Port Type F1 AMAT 0190-17837 Used | |
2952 | Temperature Controller 0190-01905 w/Watlow Anafaze CLS204 Amat Centura Loadlock | |
2953 | The replacement of AMAT endpoint PC CPU board, 0660-01106/ 0660-01821+0660-0161 | |
2954 | Thermo Neslab M25 chiller, heat exchanger 115V PD1 LL/LF RS232, in OEM Box | |
2955 | TITAN HEAD | |
2956 | Trazar AMU10E-2, RF match for Novellus 27-118072, looks very clean/rebuilt | |
2957 | Trazar AMU2D-1, Trazar DFEG Match 5KW, Novellus R27-024562-00 | |
2958 | Trazer AMU10E-2, Novellus 27-118072-00, RF match network | |
2959 | TREK 684-1, 6 phase ESC Power supply, Novellus R19-137359-00 | |
2960 | U-AFA2M-DUV / OLYMPUS MICROSCOPE ACTIVE AUTO FOCUS UNIT/ AMAT | |
2961 | Universal Plastics Chiller, UP033-2500-DLD | |
2962 | Vacuum chamber with 2 spare clamp rings, aluminum | |
2963 | VAT 02009-BE24-0001 Rectangular Gate Valve, PM, ISO, F02-102354 | |
2964 | VAT 02009-BE24-0001 Rectangular Gate Valve, PM, ISO, F02-60492/0023 | |
2965 | VAT 02009-BE24-0001 Rectangular Gate Valve, PM, ISO, F02-60492/0023, 19-00046-00 | |
2966 | VAT 0200-X-AE24 Rectangle gate valve 0200X-AE24-1014/0028 | |
2967 | VAT 0210X-CA24-BJC1 Monovat Rectangular Dual Slit AMAT 3870-04810 Refurbished | |
2968 | VAT 02112-AA24-AVU1/0273 VALVE GATE RECTANGULAR | |
2969 | VAT 02112-BA24-APN1/0668 VALVE GATE RECTANGULAR, Novellus 60-135033-00 | |
2970 | VAT 02309-MA44-1003 Rectangular Monovat insert with Pneumatic actuator | |
2971 | VAT 14038-PE24-AIU1/0012 HV Gate valve, 14038-PE24-AIU1 | |
2972 | VAT 16246-PA21-ADK1/0003 Pendulum VALVE 16246-PA21 | |
2973 | VAT 20040-UE24-ABD2, Valve, VAT, 6″CF, EXT Body, Novellus R60-154308-00 | |
2974 | VAT 322143/001 Actuator Kit, Monovat valve, series 021, Novellus 60-348904-00 | |
2975 | VAT 65048-XH52-ACK2 Pendulum control isolation valve, Lam 796-441317-003 | |
2976 | VAT 65146-PAHC-AQF1/0001 Pendulum VALVE 65146-PAHC | |
2977 | VAT 84430 Actuator Kit, 46 | |
2978 | VAT 92548-PA21-AAK1 Pneumatic Pendulum Isolation Valve AMAT 3870-02618 | |
2979 | VAT 92548-PE21-1001, Pendulum valve, looks or rebuilt | |
2980 | VAT Valve, VAT w/CHEMRAZ GATE, 50×33, Novellus R60-154308-00 | |
2981 | VAT, 10846-XE28-AXQ1/0002, VACUUM GATE VALVE | |
2982 | Vavle, 0040-23168 / Rev 06 / Assy Manifold Water Pipes with 4 Valves / Chamber | |
2983 | Watkins Johnson 7376-001, WJ wafer loader return shuttle, WJ999 or WJ1000, | |
2984 | West Coast Quartz 91-01627A Silicon Slab AMAT Applied Materials 0200-40013 | |
2985 | West Coast Quartz 91-01627RW Silicon Slab AMAT 0200-40013 Refurbished | |
2986 | WLDMT, Chamber Bottom, RTP AMAT 0040-35433 | |
2987 | XU-CM7400 /YASKAWA CONTROLLER SUPPLY FOR TEL TRIAS TRANSFER ROBOT/AMAT | |
2988 | XVME-530-70530-001 | |
2989 | Yaskawa RC/ELC1, SRCII Robot controller, DDMQF-SR2230, used, in OEM box, | |
2990 | Yaskawa SGDH-75AEY906 Platen Servo Motor Driver SERVOPACK AMAT 0190-08040 Used | |
2991 | YASKAWA XU-ACP130-A15 PRE-ALIGNER APPLIED MATERIALS *UNUSED SURPLUS – * | |
2992 | Zygo 260-00102-01 PCB Board |
ss380
All used equipment /parts trademarks belongs to the original equipment manufacturer. All rights reserved.