Description
Location ID: FOX-SS10685-20250218
Valid: Subject to prior sale without notice. This is only for end users. Applicate your time.
1 | Adixen | APR4300 Wafer Decontamination Tool | Wafer Decontamination | 300 |
2 | Adixen | APR4300 Wafer Decontamination Tool | Wafer Decontamination | 300 |
3 | ADIXEN | APR4300 Wafer Decontamination Tool | Wafer Decontamination | 300 |
4 | ADVANTEST | 93K PS800 | ATC93K_93000_Precio | 300 |
5 | Advantest | 93000 Hybrid Test (Functional / Parallel Parametic Test (pA/CMEAS)) Cell | Tester | 300 |
6 | Advantest | 93000 Hybrid Test (Functional / Parallel Parametic Test (pA/CMEAS)) Cell | Tester | 300 |
7 | Advantest | 93000 Hybrid Test (Functional / Parallel Parametic Test (pA/CMEAS)) Cell | Tester | 300 |
8 | Advantest | 93000 Hybrid Test (Functional / Parallel Parametic Test (pA/CMEAS)) Cell | Tester | 300 |
9 | Advantest | 93000 Hybrid Test (Functional / Parallel Parametic Test (pA/CMEAS)) Cell | Tester | 300 |
10 | Advantest | 93000 | Tester | 300 |
11 | Advantest | 93000 | Tester | 300 |
12 | Aleris | Rudolph | TFM_THK_JV | 300 |
13 | AMAT | AMAT CENTURA – 1 Carina CHM | FinCut | 300 |
14 | AMAT | PRODUCER SE | TEOS CU | 300 |
15 | AMAT | PRODUCER SE | SICOH ultra-low-k | 300 |
16 | AMAT | P5000 | ILD SABPSG | 200 |
17 | AMAT | ENDURA E5500 | COHTI | 200 |
18 | AMAT | CENTURA DXZ | PASSIVATION | 200 |
19 | AMAT | CENTURA MCVD SYS5200T | na | 200 |
20 | AMAT | CENTURA DPS | na | 200 |
21 | AMAT | CENTURA DPS | na | 200 |
22 | AMAT | Uvision 5 | CFM_Brightfield Inspection | 300 |
23 | AMAT | CENTURA EPI ACP 300MM RPS | EPI SiGe | 300 |
24 | AMAT | CENTURA ENABLER | ILD ETCH SICOH | 300 |
25 | AMAT | RAIDER ECD310 | NI PLATE | 300 |
26 | AMAT | RAIDER ECD310 | COPPER SN AG PLATE | 300 |
27 | AMAT | RAIDER ECD310 | NI PLATE | 300 |
28 | AMAT | ACMS | PLATE ACMS | 300 |
29 | AMAT | ACMS | PLATE ACMS | 300 |
30 | AMAT | CENTURA | Centura TSG | 300 |
31 | AMAT | CENTURA | AMAT EPI | 300 |
32 | AMAT | CENTURA | AMAT EPI | 300 |
33 | AMAT | Quantum Leap II | HIGH CURRENT / LOW ENERGY IMPLANT | 200 |
34 | AMAT | Frontier FRONTIER etch for Junctions – CHA-SiCoNi, CHC-Frontier | CVD12x_SIN POLY ISO REMOVE | 300 |
35 | AMAT | PRODUCER GT PECVD —- 3ch – CHA-SiN, CHB-SiN, CHC-SiN | TFM_Etch Stop Stress Nitride | 300 |
36 | AMAT | PRODUCER GT — 3ch – CHA-SiCoNi, CHB-SiCoNi, CHC -SiCoNi(NST) | TFM_SICONI Etch | 300 |
37 | AMAT | Producer GT Twin Chamber FCVD Oxide/low k for STI Gapfill – Fab1 DEP and CURE chambers transfer -Eterna II- CHA-FCVD, CHB-Corona Cure, CHC-Corona Cure | CVD49x_FCVD | 300 |
38 | AMAT | AMAT MESA (3+1) | ETX41x_FinCut | 300 |
39 | AMAT | SPECTRUM 300[Polyimid Rework Sink](SNK930) | SNK90x_PolyimideRwk | 300 |
40 | AMAT | AME P5000 | NA | 200 |
41 | AMAT | Uvision 6 | BFIUV6_Brightfield_AMAT | 300 |
42 | AMAT | ULTIMA-X | HDP21x_STIFillCap | 300 |
43 | AMAT | PRODUCER SE | SICOH ultra-low-k | 300 |
44 | AMAT | CENTURA ENABLER | ILD ETCH SICOH | 300 |
45 | AMAT | PRODUCER SE | UV CURE | 300 |
46 | AMAT | RAIDER CFD | na | 300 |
47 | AMAT | RAIDER ECD310 | na | 300 |
48 | AMAT | NANO SEM | CD SEM | 300 |
49 | AMAT | PRODUCER SE | BPSG_SACVD_SE | 300 |
50 | AMAT | VERITY SEM | CDSEM | 300 |
51 | AMAT | UVC | na | 300 |
52 | AMAT | SEM VISION G3 | SEM REVIEW | 300 |
53 | AMAT | VERITY 2 | CDSEM | 300 |
54 | AMAT | CENTURA 5200 | RTX | 200 |
55 | AMAT | VARIAN E220 | IMPLANT | 200 |
56 | AMAT | VARIAN E220 | IMPLANT | 200 |
57 | AMAT | VARIAN E220 | IMPLANT | 200 |
58 | AMAT | AMAT PRODUCER GT – 1 Nblok chm | CVD93x_BLoKDep | 300 |
59 | AMAT | ENDURA2 RMG Metal Dep (2x CVD Co – CH 2, CH 3) | MDX45x_MetalDep | 300 |
60 | AMAT | SEMVISION CX | CFM | 200 |
61 | AMAT | ENDURA 5500 | METALS | 200 |
62 | AMAT | Uvision 5 (Eval) | BFIUV6_Brightfield_AMAT | 300 |
63 | AMAT | CENTURA | SiGe Epitaxy | 300 |
64 | AMAT | ENDURA E5500 | SLAB | 200 |
65 | AMAT | Frontier FRONTIER etch for Junctions – CHA-SiCoNi, CHB-Frontier, CHC-Frontier | CHA-SiCoNi, CHB-Frontier, CHC- | 300 |
66 | AMAT | PRODUCER SE | SICOH ultra-low-k | 300 |
67 | AMAT | CENTURA | SiGe Epitaxy | 300 |
68 | AMAT | PRODUCER GT PECVD —- 3ch – CHA-PETEOS, CHB-PETEOS, CHC-PETEOS | PE-TEOS | 300 |
69 | AMAT | CENTURA 5200 WXZ | WPLUG | 200 |
70 | AMAT | Producer GT – CHA-UVCure, CHB-Co, CHC-NBlok, CHD-LLAPC | CVD93x_BLoKDep | 300 |
71 | AMAT | Producer GT – CHA-Co, CHB-Co, CHC-NBlok, CHD-LLAPC | CVD93x_BLoKDep | 300 |
72 | AMAT | PRODUCER GT SACVD —- 3ch – CHA-SACVD, CHB-SACVD, CHC-SACVD | TFM_Low Dep Rate SACVD | 300 |
73 | AMAT | CENTURA | SiGe Epitaxy | 300 |
74 | AMAT | ENDURA2 | MDX89x_BarSeed_CO | 300 |
75 | AMAT | Olympia SiN_LowK | SWD_PE_SiN_LowK | 300 |
76 | AMAT | PRODUCER SE PECVD —- 3ch – CHA-BCHD, CHB-BCHD, CHC-BCHD | TFM_U-LowK Dielectric | 300 |
77 | AMAT | ENDURA2 RMG Metal Dep (C – ALD TiN, D – RF PVD TiAl, E – DMD, F – DMD, 1- HP Al, 2 – CVD Co, 3 – CVD Al, 4 – PVD Ti, 5 – PVD Al) | MDX43x_TiC_METALDEP | 300 |
78 | AMAT | PRODUCER SE PECVD —- 3ch – CHA-BCHD, CHB-BCHD, CHC-BCHD | TFM_U-LowK Dielectric | 300 |
79 | AMAT | PRODUCER SE PECVD —- 3ch – CHA-BCHD, CHB-BCHD, CHC-BCHD | TFM_U-LowK Dielectric | 300 |
80 | AMAT | – CENTURA – (2+1+1)CH (Eval) – | OBAN_GateEtch | 300 |
81 | AMAT | CENTURA ULTIMA+ | HDP STI | 200 |
82 | AMAT | QUANTUM LEAP II | Low Energy Implanter | 200 |
83 | AMAT | ENDURA E5500 | IMPTIN | 200 |
84 | AMAT | QUANTUM LEAP | LOW ENERGY IMPLANT | 200 |
85 | AMAT | QUANTUM LEAP | LOW ENERGY IMPLANT | 200 |
86 | AMAT | ENDURA E5500 | IMPTIN | 200 |
87 | AMAT | QUANTUM LEAP | LOW ENERGY IMPLANT | 200 |
88 | AMAT | CENTURA DPS | METAL ETCH | 200 |
89 | AMAT | CENTURA DPS | METAL ETCH | 200 |
90 | AMAT | CENTURA EMXP+ | OP/BP ETCH | 200 |
91 | AMAT | ENDURA E5500 | MCAP | 200 |
92 | AMAT | ENDURA E5500 | COSI2 | 200 |
93 | AMAT | ENDURA E5500 | MCAP | 200 |
94 | AMAT | CENTURA DPS | METAL ETCH | 200 |
95 | AMAT | ENDURA E5500 | SLAB | 200 |
96 | AMAT | CENTURA DXZ | PASSIVATION | 200 |
97 | AMAT | QUANTUM LEAP | LOW ENERGY IMPLANT | 200 |
98 | AME | 5000_5000_MXP | FEOL RIE | 200 |
99 | AME | 5200_IPS_* | BEOL RIE | 200 |
100 | AME | 5200_5200_IPS | FEOL RIE | 200 |
101 | AME | 5200_IPS_* | BEOL RIE | 200 |
102 | AME | 5200_IPS_* | BEOL RIE | 200 |
103 | AME | 5200_5200_IPS | FEOL RIE | 200 |
104 | AME | 5200_5200_IPS | FEOL RIE | 200 |
105 | AME | AME_P5000_* | CVD AME | 200 |
106 | AME | AME P5000 | CVD AME | 200 |
107 | AME | 5000 * | FEOL RIE | 200 |
108 | AME | AME P5000 | CVD AME | 200 |
109 | AME | 5000 5000 | FEOL RIE | 200 |
110 | Arcadia Engineering | AG-112A | CONTACT ANGLE | 300 |
111 | ASM | E3200 | RP and Selective EPI | 300 |
112 | ASM | E3200 | RP and Selective EPI | 300 |
113 | ASM | EagleXP/Pulsar – PM1 – XP4 HFOx | DIF_RTA_GateOx_HK | 300 |
114 | ASM | MIR3000 – PM1, PM2 – PE_ALD Oxide | RTA43x_PE_ALD Oxide | 300 |
115 | ASM | MIR3000 – PM1, PM2 – PE_ALD Oxide | RTA43x_PE_ALD Oxide | 300 |
116 | ASML | NXT:1950i | 193NM ARF IMMERSION SCANNER | 300 |
117 | ASML | XT1400 | 193NM ARF SCANNER | 300 |
118 | ASML | AT860 | 248NM KRF SCANNER | 300 |
119 | ASML | AT850 | 248NM KRF SCANNER | 300 |
120 | ASML | AT860 | 248NM KRF SCANNER | 300 |
121 | ASML | AT850 | 248NM KRF SCANNER | 300 |
122 | ASML | AT850 | 248NM KRF SCANNER | 300 |
123 | ASML | AT860 | 248NM KRF SCANNER | 300 |
124 | ASML | AT850 | 248NM KRF SCANNER | 300 |
125 | ASML | AT400 | ILINE SCANNER | 300 |
126 | ASML | AT400 | ILINE SCANNER | 300 |
127 | ASML | AT400 | ILINE SCANNER | 300 |
128 | ASML | 275 | ILINE STEPPER | 200 |
129 | ASML | XT1900 | 193NM ARF IMMERSION SCANNER | 300 |
130 | ASML | YIELDSTAR S-200B | LIT MEASUREMENT | 300 |
131 | ASML | XT1900 | 193NM ARF IMMERSION SCANNER | 300 |
132 | ASML | NXT:1950i | LIT_ArF_Immersion | 300 |
133 | ASML | NXT:1950i | LIT_ArF_Immersion | 300 |
134 | ASML | 700B NAM | DUV SCANNER | 200 |
135 | ASYST | WMS 2200 | WAFER MANAGEMENT SYSTEM | 200 |
136 | ASYST | WMS 2200 | YDD WMS | 200 |
137 | ASYST | WMS 2200 | WAFER MANAGEMENT SYSTEM | 200 |
138 | ASYST | WMS 2200 | WAFER MANAGEMENT SYSTEM | 200 |
139 | ASYST | WMS 2200 | WAFER MANAGEMENT SYSTEM | 200 |
140 | AXCELIS | FUSION 200PCU | UV BAKE | 200 |
141 | AXCELIS | FUSION 200PCU | UV BAKE | 200 |
142 | AXCELIS | FUSION 200PCU | UV BAKE | 200 |
143 | AXCELIS | FUSION 200PCU | UV BAKE | 200 |
144 | AXCELIS | FUSION 200PCU | UV BAKE | 200 |
145 | AXCELIS | OPTIMA MD | MED CURRENT | 300 |
146 | AXCELIS | OPTIMA MD | MED CURRENT | 300 |
147 | AXCELIS | COMPACT II FURNACE | H2 reflow oven | 300 |
148 | AXCELIS | FUSION 200 ACU | STRIP | 200 |
149 | AXCELIS | FUSION 200 ACU | STRIP | 200 |
150 | AXCELIS | FUSION 200 ACU | STRIP | 200 |
151 | AXCELIS | FUSION 200 MCU | STRIP | 200 |
152 | AXCELIS | FUSION 200 MCU | STRIP | 200 |
153 | AXCELIS | FUSION 200 MCU | STRIP | 200 |
154 | AXCELIS | FUSION 200 MCU | STRIP | 200 |
155 | AXCELIS | FUSION 200 MCU | STRIP | 200 |
156 | AXCELIS | FUSION 200 MCU | STRIP | 200 |
157 | AXCELIS | FUSION 200 MCU | STRIP | 200 |
158 | AXCELIS | FUSION 200 MCU | STRIP | 200 |
159 | AXCELIS | FUSION 200 MCU | STRIP | 200 |
160 | AXCELIS | GSD HE | HIGH ENERGY IMPLANT | 200 |
161 | AXCELIS | INTEGRA ES | PLSM STRIP O2-FREE | 300 |
162 | AXCELIS | FUSION 200PCU | UV BAKE | 200 |
163 | AXCELIS | GSD 200E | HIGH CURRENT IMPLANT | 200 |
164 | BLUE M | KOYO_BLUE_M_* | MUV_POLY_CURE | 200 |
165 | BROOKS | EUV Reticle Stocker | EUV_Reticlestocker | 300 |
166 | Bruker | D8 FABLINE | XRD_Bruker | 300 |
167 | BRUKER | D8 FABLINE | XRAY DEFRACTION | 300 |
168 | BRUKER | AXS TXS | Material Analysis | 300 |
169 | BRUKER | D8-GADDS microfocus | Material Analysis | 300 |
170 | BRUKER | AXS D8 Discover | Material Analysis | 300 |
171 | BRUKER | AFM-D500 | Material Analysis | 300 |
172 | CAMECA | 4000X Si std | Material Analysis | 300 |
173 | CAMECA | Cameca APT Flex TAP – Fab10 Transfer | Material Analysis | 300 |
174 | CANON | ANELVA – PMB, PMC, PMD, PME | TFM_MetalGate_Canon Anelva | 300 |
175 | CDE | RESMAP 273 FOUP DUAL | RESISTIVITY MEASUREMENT NON METAL | 300 |
176 | CDE ResMap | CDE RESMAP 463 | SHEET RESISTANCE FEOL | 300 |
177 | CFM | FullFlow 1/99 8100 | SURFACE PREP | 200 |
178 | CFM | FullFlow 1/99 8100 | SURFACE PREP | 200 |
179 | CFM | CFM HP 8050 | CFM HP | 200 |
180 | CFM | FULL FLOW_8100_* | CFM HP | 200 |
181 | CFM | FULL FLOW 8100 | SURFACE PREP | 200 |
182 | CONCEPT PART SOLUTIONS | PEP-4800DL | HIO | 200 |
183 | DAIFUKU | F Model | Server | 300 |
184 | DAIFUKU | F Model | Server | 300 |
185 | DAINAN TECH (S) PTE LTD | 9039 | OVEN BAKE | 200 |
186 | DESPATCH | PWC-48X38X64-3E – Drying Oven | Drying Oven | 300 |
187 | DESPATCH | PWC-48X38X64-3E – Drying Oven | Drying Oven | 300 |
188 | DESPATCH | PWC-48X38X64-3E – Drying Oven | Drying Oven | 300 |
189 | DESPATCH | PWC-48X38X64-3E – Drying Oven | TBD | 300 |
190 | DISCO | DGP8761 | BackSideGrinding | 300 |
191 | DISCO | DGP8761 | BackSideGrinding | 300 |
192 | DISCO | DFG840 | BACKGRIND | 200 |
193 | DNS | SSW80AAR | SCRUBBER | 200 |
194 | DNS | SS-3100 | Mainframe | 300 |
195 | DNS | SS-3100 | Mainframe | 300 |
196 | DNS | SU-3200 | Single Wafer Acid Processor | 300 |
197 | DNS | SU-3200 | Single Wafer Acid Processor | 300 |
198 | DNS | SU-3200 | Single Wafer Acid Processor | 300 |
199 | DNS | FC3100 | MOL CLEAN | 300 |
200 | DNS | SPW 813AS | CMP | 200 |
201 | DNS | SSW80AAR | SCRUBBER | 200 |
202 | DNS | WS820L | RCA | 200 |
203 | EBARA | EPO222 | POLH OXIDE | 200 |
204 | EBARA | EPO222 | POLH OXIDE | 200 |
205 | EBARA | EPO222 | POLH OXIDE | 200 |
206 | EBARA | EPO222H | POLH OXIDE | 200 |
207 | EBARA | EPO222H | POLH OXIDE | 200 |
208 | EBARA | EPO222H | POLH WCMP | 200 |
209 | EBARA | EPO222 | POLH WCMP | 200 |
210 | EBARA | EPO222 | POLH STI | 200 |
211 | ENTEGRIS FLUOROWARE | HTC8030 | BOX WASH / CASETTE CLEANER | 200 |
212 | ENTEGRIS FLUOROWARE | HTC8030 | BOX WASH / CASETTE CLEANER | 200 |
213 | ESEC | MICRON2 | ALI | 300 |
214 | FEI | ExSolve CLM WTP | PFA | 300 |
215 | FEI | ExSolve WTP | PFA | 300 |
216 | FEI | TEM Titan G2 60-300 (image Cs corrector,multi-loaded) | PFA | 300 |
217 | FILMETRICS | F50 | THICKNESS MEASUREMENT | 300 |
218 | FSI | POLAR | PHOTO | 200 |
219 | FSI | POLAR | PHOTO | 200 |
220 | FSI | POLAR | PHOTO | 200 |
221 | FSI | POLAR * | PHOTO | 200 |
222 | GASONICS | PEP3510A | RESIST STRIP | 200 |
223 | GASONICS | PEP3510A | RESIST STRIP | 200 |
224 | GASONICS | PEP IRIDIA DL | RESIST STRIP | 200 |
225 | GASONICS | PEP3510A | RESIST STRIP | 200 |
226 | GTI Technologies | ATM | na | 300 |
227 | GTI Technologies | ATRM | na | 300 |
228 | Hitachi | CD-N | CD MEASUREMENT | 300 |
229 | HITACHI | CG5000 | CDS50x_CDSEM_HITACHI | 300 |
230 | HITACHI | CG4000 (consignment tool, to be replaced by CG5000) | CDS50x_CDSEM_HITACHI | 300 |
231 | HITACHI | M8000 – M8190XT | SpacerEtch | 300 |
232 | HITACHI | S-8840 | SEM | 200 |
233 | HITACHI KOKUSAI | DJ-1236VN-DF – BOAT1, BOAT2 TiN_ALD | TiN_ALD | 300 |
234 | HITACHI KOKUSAI | DJ-1236VN-DF – BOAT1, BOAT2 TiN_ALD | FVX48x_TiN_ALD | 300 |
235 | HITACHI KOKUSAI | DD1223V – BOAT1 – Harp Anneal | Harp_Anneal | 300 |
236 | HITACHI KOKUSAI | DJ1236VN – BOAT1 – LowK SiN | FVX39x_LowK_ALDSIN | 300 |
237 | HITACHI KOKUSAI | DJ-1236VN-DF – BOAT1, BOAT2 TiN_ALD | FVX39x_LowK_ALDSIN | 300 |
238 | HITACHI KOKUSAI | DJ-1236VN-DF – BOAT1, BOAT2 TiN_ALD | FVX39x_LowK_ALDSIN | 300 |
239 | HMI | ESCAN 380 | EBEAM | 300 |
240 | HMI | eScan 500 | EBeamInspection_HMI | 300 |
241 | HSEB | MMT 300 | MACRO INSPECTION | 300 |
242 | HSEB | MMT 300 | MACRO INSPECTION | 300 |
243 | HSEB | AXIOSPECT 301 | Optical Review | 300 |
244 | JORDAN VALLEY | JVX 7300 — XRR/XRD/XRF system XRay Film Metrology (Eval) | TFM_THK_JV | 300 |
245 | JUSUNG | Eureka 2000 | CVD NOV | 200 |
246 | JUSUNG | JUSUNG EUREKA2000 | NA | 200 |
247 | KEITHLEY | K255 KEITHLEY TESTER 973/1 Col. E23 (MT) 20F8161ZB | TEST | 200 |
248 | KEITHLEY | S450 | TEST | 200 |
249 | KEITHLEY | S450 | TEST | 200 |
250 | KEITHLEY | S450 | TEST | 200 |
251 | KEITHLEY | S450 | TEST | 200 |
252 | KEITHLEY | S475 | TEST | 200 |
253 | KEITHLEY | S450 | TEST | 200 |
254 | KEITHLEY | S450 | TEST | 200 |
255 | KEITHLEY | S630 | TEST | 200 |
256 | KEITHLEY | S630 | TEST | 200 |
257 | KEITHLEY | KEITHLEY S600 | TEST | 200 |
258 | KEITHLEY | S450 | TEST | 200 |
259 | KEYSIGHT TECHNOLOGIES | HP 4062UX | ELECTRICAL TESTING | 200 |
260 | KEYSIGHT TECHNOLOGIES | HP 4062UX | ELECTRICAL TESTING | 200 |
261 | KEYSIGHT TECHNOLOGIES | HP 4062UX | ELECTRICAL TESTING | 200 |
262 | KEYSIGHT TECHNOLOGIES | HP 4062UX | ELECTRICAL TESTING | 200 |
263 | KLA | 2600DUV | FILM THICKNESS | 200 |
264 | KLA | 5240 | FILM THICKNESS | 200 |
265 | KLA | 3260 | FILM THICKNESS | 200 |
266 | KLA | TERON650 | ReticleInspect | 300 |
267 | KLA | 2835 | BF2835_Brightfield_KLA | 300 |
268 | KLA | Tencor Spectrashape 10K | LIT_MET_Scatterometry_FinFET | 300 |
269 | KLA | FM 6200 | NA | 200 |
270 | KLA | ARCHER —- 500 | OVL20x_Overlay | 300 |
271 | KLA | ARCHER —- 500 | OVL20x_Overlay | 300 |
272 | KLA | ARCHER —- 500 | OVL20x_Overlay | 300 |
273 | KLA | LD10 Stripped | THK20x_Spectrafilm_KLA | 300 |
274 | KLA | LD10 Stripped Stripped | THK20x_Spectrafilm_KLA | 300 |
275 | KLA | SL536 | RETICLE DEFECT INSPECTION | 300 |
276 | KLA | PROFILER_P2_* | ALPHA STEP | 200 |
277 | KLA | PROFILER_P1_* | ALPHA STEP | 200 |
278 | KLA | OVERLAY_5200XP_* | KLA OVERLAY | 200 |
279 | KLA | DEFECT INS_AIT2_ | CMP AIT2 | 200 |
280 | KLA | DEFECT INS 2139 | CFM | 200 |
281 | KLA | OVERLAY 5200XP | METROLOGY | 200 |
282 | KLA | VIPER PDI 2401 | CFM | 200 |
283 | KLA | UV1280SE | FILM THICKNESS | 200 |
284 | KLA | LD10 Stripped Stripped | THK20x_Spectrafilm_KLA | 300 |
285 | KLA | 6220 | D0 MEASUREMENT | 200 |
286 | KLA | UV1280SE | FILM THICKNESS | 200 |
287 | KLA | UV1280SE | FILM THICKNESS | 200 |
288 | KLA | UV1280SE | FILM THICKNESS | 200 |
289 | KLA-TENCOR | EDR5200 | SEM REVIEW | 300 |
290 | KLA-TENCOR | EDR5200 | SEM REVIEW | 300 |
291 | KLA-TENCOR | EDR5200 | SEM REVIEW | 300 |
292 | KLA-TENCOR | EDR5200 | SEM REVIEW | 300 |
293 | KLA-TENCOR | SCD-XT | OXIDE THICKNESS | 300 |
294 | KLA-TENCOR | SCD-XT | OXIDE THICKNESS | 300 |
295 | KLA-TENCOR | SCD-XT | OXIDE THICKNESS | 300 |
296 | KLA-TENCOR | VIPER | MACRO INSPECTION | 300 |
297 | KLA-TENCOR | AIT XUV | DARK FIELD | 300 |
298 | KLA-TENCOR | AIT XUV | DARK FIELD | 300 |
299 | KLA-TENCOR | AIT | DARK FIELD | 300 |
300 | KLA-TENCOR | AIT | DARK FIELD | 300 |
301 | KLA-TENCOR | AIT | DARK FIELD | 300 |
302 | KOBELCO | KOBELCO V500 Fab10 Transfer | Material Analysis | 300 |
303 | KOKUSAI | DJ1236VN | FVX39x_LowK_ALDSIN | 300 |
304 | Lam | NOVELLUS C2 | CVD NOV | 200 |
305 | LAM | Inova, CH1 – HCM Cu ALP (2%Mn), CH2 – RPM, CH3 – HCM Ta IONX, CH4 – Cool station, CH5 – HCM Ta IONX, CH7 – HCM Cu AS | TFM_Cu Barrier Seed_Novellus | 300 |
306 | LAM | Inova, CH1 – HCM Cu ALP (2%Mn), CH3 – HCM Ta IONX, CH4 – Cool station, CH5 – HCM Ta IONX, CH7 – HCM Cu AS (2%Mn)) | TFM_Cu Barrier Seed_Novellus | 300 |
307 | LAM | Inova | TFM_TiN-HM Dep_Novellus | 300 |
308 | LAM | C3 | STI/STI | 300 |
309 | LAM | C3 | HDP STI / HDP STI | 300 |
310 | Lam | METAL M | ETX93x_TFHM DPT ETCH | 300 |
311 | LAM | SOLA Dual Wavelength | UVC51x_UVCure | 300 |
312 | LAM | 2300 Exelan Flex | Oxide Etch | 300 |
313 | LAM | 9600 BRME | WAC WIRING | 200 |
314 | LAM | E4-Coronus | BevelStrip | 300 |
315 | Lam | 4520XL SA | BEOL RIE | 200 |
316 | Lam | 4520XL SA | BEOL RIE | 200 |
317 | Lam | 4520XL SA | BEOL RIE | 200 |
318 | Lam | 4520XL SA | BEOL RIE | 200 |
319 | Lam | 4520XL SA | BEOL RIE | 200 |
320 | Lam | NOVELLUS C2 | CVD NOV | 200 |
321 | Lam | NOVELLUS C2 | CVD NOV | 200 |
322 | Lam | 4520XL SA | BEOL RIE | 200 |
323 | Lam | NOVELLUS C2 | CVD NOV | 200 |
324 | Lam | NOVELLUS C2 | CVD NOV | 200 |
325 | Lam | NOVELLUS C2 | CVD NOV | 200 |
326 | LAM | VECTOR Excel – CHA-NST, CHB-AlN, CHC-NDC/ODC | CVD96x_NH3 Plasma + SiC NDC | 300 |
327 | Lam | E5 – Kiyo Metal HP – (2+2) | ETX91x_Al Pad Etch | 300 |
328 | Lam | – E5 – Kiyo EX – (3+2) CH – PM 1-5 | ETX31x_Spacer Etch | 300 |
329 | LAM | E5 – Kiyo FX – (3+1) CH (Fab1 PO Reroute:ETX4101) – PM 1-4 | SiRecessEtch | 300 |
330 | LAM | Inova, CH1 – HCM Cu ALP (2%Mn), CH2 – RPM, CH3 – HCM Ta IONX, CH4 – Cool station, CH5 – HCM Ta IONX, CH6 – HCM Ta IONX2, CH7 – HCM Cu AS | TFM_Cu Barrier Seed_Novellus | 300 |
331 | LAM | – E5 – Kiyo EX – (3+2) CH – PM 1-5 | ETX25x_RIESOH | 300 |
332 | LAM (NOVELLUS) | C2 TRIPLE SPEED | HDP USG | 200 |
333 | LAM (NOVELLUS) | C2 TRIPLE SPEED | HDP USG | 200 |
334 | LAM (NOVELLUS) | C2 TRIPLE SPEED | HDP USG | 200 |
335 | LAM (NOVELLUS) | C2 TRIPLE SPEED | HDP USG | 200 |
336 | Lasertec | EUV Reticle Back Side Inspection | EUV_BacksideInspect | 300 |
337 | LEICA | LEICA INS1000 | CFM | 200 |
338 | LINTECH | RAD3510F/12 | Lamination (BackSideGrinding) | 300 |
339 | LINTECH | RAD3010F/12 | Peeling (BackSideGrinding) | 300 |
340 | MATTSON | ASPEN2 ICP | RESIST STRIP | 200 |
341 | MATTSON | MILLIOS HVM – PM1 | HVM – PM1 | 300 |
342 | MATTSON | ASPEN2 ICP | RESIST STRIP | 200 |
343 | MATTSON | ASPEN2 ICP | RESIST STRIP | 200 |
344 | MATTSON | ASPEN2 ICP | RESIST STRIP | 200 |
345 | MEI | STEAG REFURBISH | SURFACE PREP | 200 |
346 | METRYX | MENTOR DF3 | MET800 | 300 |
347 | METRYX | MENTOR DF3 | MET800 | 300 |
348 | MSP CORP | 2300-NPT-2 | PARTICLE DEPOSITION | 300 |
349 | NA | P8XL Prober | Tester | 200 |
350 | NA | P8XL | Tester | 200 |
351 | NA | P8XL | Tester | 200 |
352 | NANOMETRICS | Unifire 7900 Interferometer – 20nm TSV Placeholder (Eval) | Profilometry | 300 |
353 | Nexx Systems | Sputter 3D | SPUTTER Sputter 3D | 300 |
354 | NI | PXIE RTS TESTER | ETEST RTS TESTER | 300 |
355 | NICOLET | ECO8S * | NA | 200 |
356 | NIKON | S208D | DUV | 300 |
357 | NIKON | S208D | DUV | 300 |
358 | NIKON | S208 | 248NM KRF SCANNER – NON CRITCAL LAYER | 300 |
359 | NIKON | S208 | 248NM KRF SCANNER – NON CRITCAL LAYER | 300 |
360 | NIKON | NSR-2205 EX14C | Stepper | 200 |
361 | NIKON | NSR-2205 EX14C | Stepper | 200 |
362 | NIKON | EX14 | DUV STEPPER | 200 |
363 | NIKON | I12 | ILINE STEPPER | 200 |
364 | NIKON | I12 | ILINE STEPPER | 200 |
365 | NIKON | I12 | ILINE STEPPER | 200 |
366 | NITTO | DETAPER | Tester | 300 |
367 | NOVA | T500 | Profile Measurement | 300 |
368 | Novellus | VECTOR EXPRESS SYSTEM | PECVD VECTOR EXPRESS SYSTEM | 300 |
369 | NOVELLUS | Sabre Classic | VIAFORM PLATING | 200 |
370 | NOVELLUS | C2 DUAL SEQUEL | NIT MIM | 200 |
371 | OEM GROUP | HEATPULSE 8108 | RTX | 200 |
372 | OEM GROUP | HEATPULSE 8108 | RTX | 200 |
373 | OEM GROUP | HEATPULSE 8108 | RTX | 200 |
374 | OEM GROUP | HEATPULSE 8108 | RTX | 200 |
375 | OXFORD INSTRUMENTS | OpAL RPT ALD for Hafnium | PFA | 300 |
376 | Park System | NX HiVac | Material Analysis | 300 |
377 | PHOENIX | MICROMEX SE 160T | XRAY | 300 |
378 | POONGSAN | GENI | High Pressure Anneal | 300 |
379 | RCS | 300PS-M100 | PAD SHAVE | 300 |
380 | RECIF | RSC122 | FEOL MANUAL | 300 |
381 | RECIF | RSC142 | BEOL AUTO | 300 |
382 | RICOR | CRYOGENIC AND VACUUM SYSTEM | N2 PURGE | 300 |
383 | Rorze | EUV Reticle Handling | EUV_ReticleHandler | 300 |
384 | RUDOLPH | S3000S | TFM_THK_Rudolph | 300 |
385 | RUDOLPH | S3000S | TFM_THK_Rudolph | 300 |
386 | RVSI | WS-3800 | WAFER INSPECTION SYS 300M | 200 |
387 | RVSI | WS-3800 | WAFER INSPECTION SYS 300M | 200 |
388 | RVSI | ws-3000hs | PPF | 200 |
389 | SANKYO | SWH80 | RCA | 200 |
390 | SANKYO | SWH80 | RCA | 200 |
391 | SARTORIUS | LA310S | ALI | 300 |
392 | SCREEN | SU3000 | BEOL SW-Clean DHF | 300 |
393 | Screen | SU3100 – MPC3,MPC4 Nitric | HiK backside cleans with HF and HF/HNO3, Frontside SPM post ash, trench hardmask removal with HF/H2SO4 | 300 |
394 | Screen | SU3100 – 8ch – MPC 1-8 – SC1, Ammonia, Peroxide, HF, IPA | SNK45x_M_SWC | 300 |
395 | Screen | SU3100 —- 8ch – MPC 1-8 – TMAH | TMAH 25%/2.5% – TJ Cavity Etch | 300 |
396 | Screen | SU3100 – 8ch – MPC 1-8 – SC1, Ammonia, Peroxide, HF, IPA | Hot Ultra-DHF Confguration | 300 |
397 | SEMES | BLEUICE PRIME – CH 1-8 – SC1, Ammonia, Peroxide, Sulfuric, IPA | SNK65x_DSP STRIP | 300 |
398 | SEMES | BLEUICE PRIME – CH 1-8 – SC1, Ammonia, Peroxide, Sulfuric, IPA | SNK65x_DSP STRIP | 300 |
399 | SEMES | LOZIX | ALT80x_SOHCoat | 300 |
400 | SEMES | LOZIX | ALT80x_SOHCoat | 300 |
401 | SEMES | LOZIX 4 Cup + 12 UHP + 8 CP 4 Cup + 12 UHP + 8 CP | ALT80x_SOHCoat | 300 |
402 | SEMES | LOZIX 4 Cup + 12 UHP + 8 CP 4 Cup + 12 UHP + 8 CP | ALT80x_SOHCoat | 300 |
403 | SEMIgear | GENEVA | REFLOW GENEVA | 300 |
404 | SEMIgear, Inc. | GENEVA STP300 | REFLOW/REOXIDE | 300 |
405 | SEMI-PROBE | PS4L SA12 | Prober | 300 |
406 | SEMITOOL | MAGNUM | ALEG380 CLEAN | 200 |
407 | SEMITOOL | MAGNUM | ALEG380 CLEAN | 200 |
408 | SEZ | 203 | BACKSIDE CLEAN | 200 |
409 | SEZ | 223 | POST METAL CLEAN | 200 |
410 | SEZ | 203 | BACKSIDE CLEAN | 200 |
411 | SEZ | 223 | POST METAL CLEAN | 200 |
412 | SOLVISION | PRECIS 3D | C4B INSP | 300 |
413 | SOLVISION | PRECIS 3D | C4B INSP | 300 |
414 | SOLVISION | PRECIS 3D | na | 300 |
415 | STEAG | AWP AWP | SURFACE PREP | 200 |
416 | STEAG | AWP AWP | SURFACE PREP | 200 |
417 | Suss | ACS300 | ACS300 | 300 |
418 | Suss | Laser Ablation | Laser Ablation | 300 |
419 | SVG | SVG VTR7000 | FURNACES | 200 |
420 | SVG | SVG VTR7000 | FURNACES | 200 |
421 | SVG | SVG VTR7000 | FURNACES | 200 |
422 | SVG | TR700 VTR7000 | FURNACES | 200 |
423 | SVG | SVG VTR7000 | FURNACES | 200 |
424 | SVG | SVG VTR7000 | FURNACES | 200 |
425 | SVG | SVG VTR7000 | FURNACES | 200 |
426 | SVG | SVG VTR7000 | FURNACES | 200 |
427 | SVG | SVG VTR7000 | FURNACES | 200 |
428 | SVG | VTR7000 | TEOS | 200 |
429 | SVG | VTR7000 | TEOS | 200 |
430 | TBD | TIM & LID ADHESIVE DISPENSER | ALI | 300 |
431 | TEL | LITHIUS PRO-V (Fab1 PO reroute: TRK4361) | LIT_ArF_Immersion | 300 |
432 | TEL | LITHIUS PRO-i | ARFIM | 300 |
433 | TEL | LITHIUS PRO-V 6-ADH + 4-BCT + 4-BST + 2 PIR + 4 CHHA + 20-CGRA + 8-CPRPP + 4-COT + 8-CSWP +8-DEV + 2-RCPL + 4-TCT + 2-RSM + 12-SCPL + 1-WEE + 1-BWEE | LIT_ArF_Immersion | 300 |
434 | TEL | LITHIUS PRO-V 6-ADH + 4-BCT + 4-BST + 2 PIR + 4 CHHA + 20-CGRA + 8-CPRPP + 4-COT + 8-CSWP +8-DEV + 2-RCPL + 4-TCT + 2-RSM + 12-SCPL + 1-WEE + 1-BWEE | LIT_ArF_Immersion | 300 |
435 | TEL | LITHIUS PRO-V 6-ADH + 4-BCT + 4-BST + 2 PIR + 4 CHHA + 20-CGRA + 8-CPRPP + 4-COT + 8-CSWP +8-DEV + 2-RCPL + 4-TCT + 2-RSM + 12-SCPL + 1-WEE + 1-BWEE | LIT_ArF_Immersion | 300 |
436 | TEL | LITHIUS PRO-V 6-ADH + 4-BCT + 4-BST + 2 PIR + 4 CHHA + 20-CGRA + 8-CPRPP + 4-COT + 8-CSWP +8-DEV + 2-RCPL + 4-TCT + 2-RSM + 12-SCPL + 1-WEE + 1-BWEE | LIT_ArF_Immersion | 300 |
437 | TEL | TEL TACTRAS VIGUS ATCC – 4 LK3 chm | ETX86x_ILDEtch_LK3 | 300 |
438 | TEL | LITHIUS ARF | 248NM KRF TRACK | 300 |
439 | TEL | LITHIUS ARF | 248NM KRF TRACK | 300 |
440 | TEL | LITHIUS 2BLK | ILINE SCANNER TRACK | 300 |
441 | TEL | LITHIUS 2BLK | ILINE SCANNER TRACK | 300 |
442 | TEL | Prober – Precio | ATCWET_4082_Precio | 300 |
443 | TEL | Precio —- Clean&Cold V93K Interface | ATC93K_93000_Precio | 300 |
444 | TEL | Precio —- Clean&Cold V93K Interface | Tester | 300 |
445 | TEL | LITHIUS ARF | 248NM KRF TRACK | 300 |
446 | TEL | Indy IRAD | Furnace | 300 |
447 | TEL | TRIAS e+ TiN | MDX47x_MG_ALD TIN | 300 |
448 | TEL | ALPHA 8S | DENSIFICATION | 200 |
449 | TEL | ALPHA 8S | GATE OX | 200 |
450 | TEL | ALPHA 8S | GATE OX | 200 |
451 | TEL | ALPHA 8S | GATE OX | 200 |
452 | TEL | ALPHA 8S | GATE OX | 200 |
453 | TEL | ALPHA 8S | LPCVD NITRIDE | 200 |
454 | TEL | ALPHA 8S | LPCVD NITRIDE | 200 |
455 | TEL | ALPHA 8S | LPCVD NITRIDE | 200 |
456 | TEL | ALPHA 8S | LPCVD NITRIDE | 200 |
457 | TEL | P8 | ELECTRICAL TESTING | 200 |
458 | TEL | P8 | ELECTRICAL TESTING | 200 |
459 | TEL | P8 | ELECTRICAL TESTING | 200 |
460 | TEL | P8 | ELECTRICAL TESTING | 200 |
461 | TEL | ACT8 3C3D | ILINE TRACK | 200 |
462 | TEL | ALPHA 8S | WELL DRIVE | 200 |
463 | TEL | ALPHA 8S | WELL DRIVE | 200 |
464 | TEL | LITHIUS 3BLK | 193NM ARF IMMERSION SCANNER | 300 |
465 | TEL | TACTRAS | CONTACT ETCH 12FDX | 300 |
466 | TEL | P12XL | PARAMETRIC TESTER | 300 |
467 | TEL | P12XL | PARAMETRIC TESTER | 300 |
468 | TEL | Alpha-303i | High temp oxide | 300 |
469 | TEL | Indy IRAD | Furnace | 300 |
470 | TEL | TELIUS | Poly FEOL Etch | 300 |
471 | TEL | Wafer Bond | Wafer Bond | 300 |
472 | TEL | ACT 12 | 248NM KRF TRACK | 300 |
473 | TEL | ACT 12 | 248NM KRF TRACK | 300 |
474 | TEL | LITHIUS 2BLK | 248NM KRF TRACK | 300 |
475 | TEL | LITHIUS 2BLK | 248NM KRF TRACK | 300 |
476 | TEL | LITHIUS 3BLK | 193NM ARF SCANNER | 300 |
477 | TEL | INDY-PLUS – BOAT1, BOAT2 ALD_Ox | FVX41x_Mid_Temp_ALD_Ox | 300 |
478 | TEL | Indyplus | FVX99x_OBSOLETE | 300 |
479 | TEL | CERTAS WING | DCL10x_ChemOxRemoval | 300 |
480 | TEL | TACTRAS VIGUS ATCC LK3 | ContactEtch | 300 |
481 | TEL | TRIAS e+ TiN | MDX47x_MG_ALD TIN | 300 |
482 | TEL | INDYPLUS | FVX99x_OBSOLETE | 300 |
483 | TEL | INDYPLUS | FVX99x_OBSOLETE | 300 |
484 | TEL | INDYPLUS iRAD_OXIDE – BOAT1 – IRAD Oxide | FVX44x_HTOX | 300 |
485 | TEL | INDYPLUS iRAD_OXIDE – BOAT1 – IRAD Oxide | FVX44x_HTOX | 300 |
486 | TEL | LITHIUS 2BLK | 248NM KRF SCANNER – NON CRITCAL LAYER | 300 |
487 | TEL | Alpha-303i | P-Doped Poly | 300 |
488 | Tel | P12XL | Inline test prober | 300 |
489 | TEL | Precio NANO | Tester | 300 |
490 | TEL | Precio Nano | Tester | 300 |
491 | TEL | P12XL | PPF | 200 |
492 | TEL | P12XL | PPF | 200 |
493 | TEL | P8XL | PPF | 200 |
494 | TEL | P8XL | PPF | 200 |
495 | TEL | P8XL Prober | PPF | 200 |
496 | TEL | P8XL | PPF | 200 |
497 | TEL | P8XL Prober | PPF | 200 |
498 | TEL | UNITY2E 85DD | AB ETCH | 200 |
499 | TEL | INDYPLUS-A | [Gate_Poly] | 300 |
500 | TEL | LITHIUS Pro-Z | ALT66x_B | 300 |
501 | TEL | LITHIUS Pro-Z | ALT66x_B | 300 |
502 | TEL | ALPHA 8S | LPCVD POLY | 200 |
503 | TEL | TACTRAS VIGUS ATCC – 6ch – ContactEtch_HK 6CH – PM 1-6 LK2 BSP w/ 200mm exhaust | ILDEtch_HM | 300 |
504 | TEL | INDYPLUS | FVX43x_MSHTO | 300 |
505 | TEL | INDYPLUS – BOAT1, BOAT2 HK POLY | FVX43x_MSHTO | 300 |
506 | TEL | TACTRUS VIGUS ATCC | ILDEtch_HM | 300 |
507 | TEL | TACTRAS VIGUS ATCC – 6ch – ILDEtch_HM 6CH – PM1,2,5,6 – LK2 BSP w/ 200mm exhaust, PM3,4 – LK3 QRE + ALE Rev1 ESC | ILDEtch_HM | 300 |
508 | TEL | TACTRAS NCCP (IBM Paid Tool) 4ch (Original Config) | GatePatterning | 300 |
509 | TEL | TACTRUS VIGUS ATCC | ILDEtch_HM | 300 |
510 | TEL | TACTRAS VIGUS ATCC – 6ch – ILDEtch_HM 6CH – | ETX86x_ILDEtch_LK3 | 300 |
511 | TEL | ACT8 | ILINE TRACK | 200 |
512 | TEL | ACT8 | ILINE TRACK | 200 |
513 | TEL | MARK8 | DUV TRACK | 200 |
514 | TEL | ACT8 2C2D | ILINE TRACK | 200 |
515 | TEL | UNITY2E 85DD | VIA ETCH | 200 |
516 | TEL | UNITY2E 85DD | VIA ETCH | 200 |
517 | TEL | ACT8 4C4D | DUV TRACK | 200 |
518 | TEL | MARK8 2C | BARC COAT | 200 |
519 | TEL | ALPHA 8S | LPCVD POLY | 200 |
520 | TEL | ALPHA 8S | LPCVD NITRIDE | 200 |
521 | TEL | UNITY2E 85DD | VIA ETCH | 200 |
522 | TEL | UNITY2E 85DD | VIA ETCH | 200 |
523 | TEL | UNITY2E 85DD | CONTACT ETCH | 200 |
524 | TEL | UNITY2E 85DD | VIA ETCH | 200 |
525 | TEL (FSI) | ZETA | SALICIDE STRIP – COSI2 | 200 |
526 | TENCOR | AIT II | AIT 2 | 200 |
527 | TENCOR | DEFECT INS_AIT2_ | AIT 2 | 200 |
528 | TENCOR | AIT1 | CMP AIT2 | 200 |
529 | TENCOR | TENCOR_DEFECT INS_AIT1 | CFM | 200 |
530 | TORR International | MSS3G2 | Material Analysis | 300 |
531 | ULTRON SYSTEM, INC | UH104-8 | UV CURE | 200 |
532 | UNAXIS | CLUSTERLINE300 | UBM DEP | 300 |
533 | UNIVERSAL PLASTICS | Semi-Auto Wet Bench | IPASA | 200 |
534 | VARIAN | EHP500 | MEDIUM CURRENT IMPLANT | 200 |
535 | VARIAN | EHP500 | MEDIUM CURRENT IMPLANT | 200 |
536 | VWR | VWR SHELDON Oven 1601 | Oven | 300 |
537 | WESTECH | 372 M | CMP | 200 |
538 | WESTECH | 372 M | CMP | 200 |
539 | WESTECH | 372 M | CMP | 200 |
540 | WESTECH | 372 M | CMP | 200 |
541 | WESTECH | 372 M | CMP | 200 |
542 | WESTECH | 372 M | CMP | 200 |
543 | WESTECH | 372 M | CMP | 200 |
544 | WESTECH | 372 M | CMP | 200 |
545 | WESTECH | 372 M | CMP | 200 |
546 | WESTECH | 372 M | CMP | 200 |
547 | WESTECH | 372 M | CMP | 200 |
548 | WESTECH | 372 M | CMP | 200 |
549 | WESTECH | 372 M | CMP | 200 |
550 | WONIK IPS | MAHA MP – PMA-SiON, PMB-SiON, PMC-SiON | CVD76x_ARL | 300 |
551 | WONIK IPS | MAHA MP – PMA-SiON, PMB-SiON, PMC-SiON | TBD | 300 |
552 | Zeiss | NanoFab | FAL LAB | 200 |
553 | ZEISS | AXIOTRON | SCOPE | 200 |
All the used equipment trademarks belongs to OEM , the original equipment manufacturer. All rights reserved.