Cognex
Showing the single result
Showing the single result
Metrology | Tester | Wafer Carriers
Main Refurbished Equipment
华为 联发科 中电三建 紫光 中电四建 中环 长江存储 中芯国际 东京精密 芯享 先进封装 华天科技 华润微 长电科技 喆塔科技 超星未来 华虹 中感微 和舰 联动科技 苏州镁伽 上海微电子 深圳梦启 广芯 江苏才道 汤谷软件 诚锋电子 中京电子 中科芯 盛美 天数智芯 优界科技 冠亚 安集 有研亿金 十三所 广东科卓 电子工程设计院 康源电子 通富 微佳智彩 中微 中科飞测 威达智 恩腾 电子城 华登国际 临芯投资 新美光 欧莱中材 雅斯科 晶工半导体 隧原科技 恒大电子 泰科天润 中科富海 万华 广钢气体 同辉 大唐电信 金宏 华特 苏州高视 深蓝电工 东方中科 芯耀辉 国微芯 快速退火炉,速升溫退火爐,保护气氛快速退火炉,保護氣氛快速退火爐,快速退火爐,红外灯加热,红外灯快速退火,卤素灯加热,高温计,红外高温计,等离子去胶机,等离子刻蚀,深刻蚀,各向同性,各向异性,等离子清洗,溅射台,蒸发台,磁控溅射台,直流电源溅射,高频溅射,自动刻蚀机,自动去胶机,手动去胶机,手动刻蚀机,干法去胶机,湿法去胶机,干法刻蚀机,湿法刻蚀机,半导体设备,半导体旧设备,半导体中古设备,半导体前道工艺设备,半导体后道工艺设备,半导体前道设备,半导体后道设备,半导体量测仪器,半导体量测设备,美国制造,紅外線燈加熱,紅外線燈快速退火,鹵素燈加熱,高溫計,紅外線高溫計,等離子去膠機,等離子蝕刻,深刻蝕,各向同性,各向異性,等離子清洗,濺射台,蒸發台,磁控濺射台,直流電源濺射,高頻濺射,自動蝕刻機,自動去膠機,手動去膠機,手動蝕刻機,乾式去膠機,濕式去膠機,乾法蝕刻機,濕蝕刻機,半導體設備,半導體舊設備,半導體中古設備,半導體前道製程設備,半導體後道製程設備,半導體前道設備,半導體後道設備,半導體量測儀器,半導體量測設備,美國製造,Plasma Asher, Plasma Descum, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Matrix 105, Matrix 205, Matrix 303, Matrix 403,Matrix 106,Matrix 104, Matrix 102,Matrix 101, Matrix 10, System One Stripper, Model 105, System One Etcher, model 303, model 403,Matrix 1107, Plasma Etcher, Plasma Etching, Dry Etching, Dry Clean,Plasma Asher, Plasma Descum, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Branson/IPC 2000, Branson/IPC 3000, Branson/IPC 4000, Barrel Asher, Barrel Etch, Barrel Etcher,Plasma Asher, Plasma Descum, Dry Clean, Downstream Asher,Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Gasonics Aura 1000, Gasonics Aura 2000, Gasonics Aura 3000, Gasonics L3510, Gasonics Aura 3010, Plasma Etcher, Plasma Etching, Dry Etching, Dry Clean,Gasonics AE 2001, Gasonics AE 2000LL,Plasma Etcher, Plasma Etching, Dry Etching, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Plasma RIE ,Reactive Ion Etch System, Reactive Ion Etch System, Tegal 901e, Tegal 903e, Tegal 901e TTW, Tegal 915,Tegal 701,Tegal 703,Tegal 801,Tegal 803,Tegal 981e,Tegal 903e,Tegal 915, Tegal 965, Tegal 405, Tegal 401, Plasma Etcher, Please Etching, Dry Etching, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Lam AutoEtch 490, Lam AutoEtch 590, Lam AutoEtch 690, Lam AutoEtch 790, Lam Rainbow 4400, Lam Rainbow 4420, Lam Rainbow 4428, Lam Rainbow 4500, Lam Rainbow 4520, Lam Rainbow 4528, Lam Rainbow 4600, Lam Rainbow 4620, Lam Rainbow 4628, Lam Rainbow 4700, Lam Rainbow 4720, Lam Rainbow 4728, Plasma Etcher, Plasma Etching, Dry Etching, Dry Clean, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, Gasonics AE 2001, Microwave Etcher, Microwave Plasma Etcher, Microwave Etch, Downstream Plasma Etch,Enviro,ENVIRO-1XaENVIRO-1Xa ,2CENVIRO-Optima,Luminous NA,NA-8000NA-1300NA-1500 ,Multifilm,NE-550EXaNE-950EX, APIOSNE-5700Deep, Oxide Etching,NLD-570EXaRISE System,RISE Series,Cluster Systems,SME SeriesuGmni Series,SRH SeriesULDiS Series,SMV-500FRoll-coater,SPW SeriesIn-line Systems,SIV SeriesSDH-4550LR&D,CS-200, 300mm,ENTRON-EXENTRON-EX2,Evaporation Roll Coater,EW SeriesR&D/Pilot Production,Ei-5In-line Systems,Ei-H series,Si Processing,IMX-3500SOPHI-200/260 ,SiC,IH-860,Brazing Furnace,FB SeriesFHHn series ,Vacuum Sintering,FSC ,eriesVacuum,Induction Melting,FMI Series,Vacuum Heat Treatment,FHB-60CFHV Series,Single-Substrate,CC Series,CME Series,In-line Systems, ULGLAZE Series,Lyophilizer,DFB Series,Micropowder Dry, UPD-400D,FM Series,DFR Series,Centrifugal Type,CEH-400B,ULVAC,Rapid Thermal Process, Modular Process Technology, Rapid Thermal Processing, Rapid Thermal Anneal, Rapid Thermal Annealing, Rapid Thermal Oxidation, Rapid Thermal Nitride, RTA, RTP, RTO, RTN,, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment, AG210, AG310, AG 410, AG610, AG 610I, AG Associates, Heatpulse 210, Heatpulse 410, Minipulse 310, Heatpulse 610, Heatpulse 610I, AG Heatpulse 410, AG Heatpulse 610, AG Heatpulse 210, AG Minipulse 310, 4100 , 4100S 4108, 8108 ,Atmospheric Rapid Thermal Process, Vacuum Rapid Thermal Process, Furnace, Oven, Thermal Furnace, Thermal Process, Thermal Processing,JIPELEC, ag2146,JetClip,JetStar, AST SHS2000, AST STEAG 2800, ssintegration, Rapid Thermal Oxide,JetFirst ,Mattson, annealsys, heatpulse ,ag 2146,Koyo Thermo Systems,AST STEAG-MATTSON 2800, STEAG-MATTSON 2900, STEAG-MATTSON 3000, heat pulse, Solaris, Eclipse ,modularpro, RLA-1000, AG Heatpulse, rapid thermal processor, Steag AST SHS2000, Solaris 75, Solaris75,STEAG Electronic Systems ,eng-sol, Annealsys, RLA-3000, Engineering Solutions ,Solaris 150, Rapid Thermal Annealer , AS-Master ,modularpro,RTO ,Modular Process Technology, Solaris150,AS-One,AS-Micro, ADDAX, JetFirst, JetLight, JetStar, MPT-600S,MPT-800S, MPT-600XP, MPT-800XP, MPT-3000, Jipelec Jetfirst 150,Jipelec Jetfirst 200, JETFIRST 100,AnnealSys AS-One, RTP-3000, ULVAC, Ulvac Technology MILA 3000 ,Rapid Thermal Annealing, ULVAC RTA-2000,ULVAC RTA-4000,ULVAC RTA-6000,ULVAC RTA-8000,ULVAC RTA-12000, EasyTube® 3000EXT, CVD Equipment Corporation, Dr. Eberl MBE-Komponenten GmbH, AO 600,Rapid Thermal Annealing System,MBE Components,MBE Systems,OCTOPLUS 300,OCTOPLUS 400,OCTOPLUS 500,OCTOPLUS 500 EBV,OCTOPLUS 600,OCTOPLUS 600 EBV,OCTOPLUS-O 400,Thin Film Systems,Organic Deposition System,Rapid Thermal Annealing,MBE Components,Thin Film / CIGS / CZTS / CdTe,ECM, Annealsys AS-Premium, Annealsys Zenith-100, Annealsys AS-One, Annealsys AS-Master, Jipelec JetStar, Annealsys AS-Micro, Jipelec JetLight, Jipelec JetFirst 100, Jipelec JetFirst 200, Jipelec JetFirst 300, CreaTec Fischer & Co. GmbH, Rapid Thermal Anneling System, Mini MBE System,Growth System, Research Linear Transfer System,RTA System,UHV Shuttle System, SemiTEq JSC, Molecular-Beam Epitaxy Systems (MBE),PVD Systems,RTP & RTA Systems,ICP/RIE/PECVD Systems,Components and accessories, STE RTP150, STE RTA100, STE ICP200 , ADVANCE RIKO, Inc., RTP-mini, Atmospheric Thermoelectric Module Evaluation System F-PEM,Mini Lamp Annealer MILA-5050,infrared lamp heating system, ULTECH CO.LTD,Real RTP-100,Real RTP-100,Real RTP-150,Real RTP-Mini,SPUTTER,DRY ETCHER,PECVD,ALD,E beam evaporator,Thermal Evaporator, SJ High Technology ,Tube RTA,R-8160,drawer type RTA, R-401, Dai-ichi Kiden Co.,Ltd., Combustion furnace,High vacuum heating system,Vertical high temperature heating system,Induction heating system(elevating),Ultra high temperature heating system, Koyo Thermo Systems Co.,Ltd., Premtek Technology Co, 技鼎股份有限公司,技鼎股份有限公司,VF-5900 300mm Large Batch,VF-5700 300mm Mini Batch,VF-5300,8 inch,Large Batch,VF-5100,8 inch,Wide-Range Batch,VF-3000,8 inch,Low-Cost Mini Batch,VF-1000,Small Production and R&D,VFS-4000,Large Bore Vertical Furnace,Koyo Thermo Systems Co.,Ltd.,Model 200 Series Horizontal Furnaces for Mass Production and Experiments,Model 206A Horizontal Furnace for PV Production ,Model 206A Horizontal Furnace for PV ,Production,RLA-3100 Lamp Annealing System for Rapid Thermal Processing,RLA-1200 Lamp Annealing System for Rapid Thermal Processing,RLA-1200 Lamp Annealing System for Rapid Thermal Processing,SO2-12-F Heated-air Circulating Type Clean Oven for 300-mm Wafers,CLH Series High-Temperature Clean Ovens,CLH Series High-Temperature Clean Ovens,VFS-4000 Large Bore Vertical Furnace,VF-5300HLP Activation Annealing Furnace,VF-5300H Vertical Furnace for Gate Insulating Film Formation,VF-5300H Vertical Furnace for Gate Insulating Film Formation,RLA-4106-V Lamp Annealing System for Contact Annealing,RLA-4106-V Lamp Annealing System for Contact Annealing,VF-3000HLP Activation Annealing Furnace, VF-3000HLP Activation Annealing Furnace,VF-3000H Vertical Furnace for Gate Insulating Film Formation,VF-3000H Vertical Furnace for Gate Insulating Film Formation,RLA-3100-V Lamp Annealing System for Contact Annealing,RLA-3100-V Lamp Annealing System for Contact Annealing,Rapid temperature rise annealing furnace RTP_Table ,RTP_Table type rapid temperature rise annealing furnace,Rapid temperature rise annealing furnace RTP_SA ,RTP ,semi-automatic rapid temperature rise annealing furnace,Rapid temperature rise annealing furnace ,RTP_Auto ,automatic rapid temperature rise annealing furnace,RTP600V,RTP600Z,RTP500Z,RTP500V,RTP500SV,快速退火炉,北京东之星应用物理研究所,快速升溫退火爐,estarlabs,Glovebox+PVD,2D material CVD,LPCVD & Furnace,Vacuum Sintering Furnace,RTP,Plasma Doping (PDS),ASHER,Wet bench,Crystal Si solarcell,Mgage 200, Mgage 300, M-gage 200, M-gage 300,Sheet Resistant measurement, Metrology, Tencor M-Gage 300,Tencor M-Gage 200, sheet resistance, sheet resistance Measurement, Semiconductor Equipment, Semiconductor metrology Equipment, KLA-Tencor, Tencor, Sonog-age 200, Sono-gage 300, Sonogage200, Sonogage 300,Perkin-Elmer 4400, Perkin-Elmer 4410, Perkin-Elmer 4450,Perkin-Elmer 4480, Perkin-Elmer 2400, Perkin Elmer 4400, Perkin Elmer 4410, Perkin Elmer 4450,Perkin Elmer 4480, Perkin Elmer 2400, Sputter, Magnetron Sputter, Diode Sputter, DC Sputter, RF Sputter, DC Magnetron Sputter, RF Magnetron Sputter, Co-sputter, Reactive Sputter, MRC, MRC 603, MRC 903, MRC 602, MRC 902, MRC 604, MRC 904, MRC 924, Plasma Etch, Dry Clean, Bias Function, Cathode, Load lock, Degas, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment,, Thin Film, Metal Thin Film, Thin Film Deposition, PVD, Physical Vapor Deposition,Singulus,singular, pecvd,Plasma Enhanced Chemical Vapor Deposition, Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment,Furnace, MRL, MRL 4 Stack,Semiconductor Equipment, Used Semiconductor Equipment, Semiconductor Process Equipment,Eaton Reliance 850,SOLARIS 100,SOLARIS 200,SOLARIS 150,SOLARIS 150UV,surface science integration, ssi-rtp,ecm-usa,Jipelec JetLight,Jipelec JetFirst 100,Jipelec JetFirst 200,Jipelec JetFirst 300,Jipelec JetStar,Annealsys AS-Micro,Annealsys AS-150,Annealsys AS-100,Annealsys AS-One,Annealsys AS-Master,Annealsys AS-Premium,Annealsys Zenith-100, unitemp, Vacuum Solder System , VSS-450-300 ,RTP-100,RTP-100-EP,RTP-100-HV,RTP-100-HV-EP,RTP-150,RTP-150-EP,RTP-150-HV,RTP-200,VPO-300,VPO-300-HV, hot plate HP-220,hot plate , VSS-450-300, RSO-200, RSO-300, RSS-210-S, RSS-160-S, RSS-110-S , ULVAC, Real RTP-100,Real RTP-150,Real RTP-Mini, SJ High Technology Company, ULTECH, Mattson,Helios XP, Helios C200,Millios,Aspen III,Aspen II,Alpine, Plasma Asher, Descum, Clean, Novyka, Selective Etch Systems, Surface Treatment Systems, Interface Treatment Systems, paradigmE, inductively coupled plasma, ICP, SUPREMA, plasma dry strip , Dry Strip, Plasma ETCH,Plasma ETCH,Surface Treatment ,Ultra-Selective Materials Removal, Rapid Thermal Processing, Millisecond Anneal, Steag-AST RTA,Steag,Mattson-Steag, STEAG 100CS,STEAG Electronic Systems,rapid thermal processing , clean process, CVD ,post-CMP-cleaning,AST 3000,STEAMpulse, high-temperature thin and thick oxides, post-dielectric deposition anneals, selective oxidation , low-temperature copper anneals,Poseidon Double Tank Tool, DTT, ritical cleaning , pre-gate oxidation, pre-epi, pre-furnace,RTP, STEAG AST Elektronik,STEAG / MATTSON / AST 100,STEAG / MATTSON / AST 2800,STEAG / MATTSON / AST 2800E, ,STEAG / MATTSON / AST 2900,Spare Parts, STEAG / MATTSON / AST 3000, STEAG / MATTSON / AST 3000 plus,STEAG / MATTSON / AST Atoms, STEAG / MATTSON / AST Helios, STEAG / MATTSON / AST Helios 6000,STEAG / MATTSON / AST SHS 10MA,STEAG / MATTSON / AST SHS 10MA, STEAG / MATTSON / AST SHS 2000,STEAG / MATTSON / AST SHS 2800, STEAG / MATTSON / AST,STEAG / MATTSON / AST SHS 2800E, STEAG / MATTSON / AST SHS 3000,AST Steag Mattson SHS 1000VAC, RTP, Rapid Thermal Processor,JTEKT Thermo Systems,Crystec Technology,RTP/RTA system RLA 1200, RTP/RTA system RLA 3100, RTP/RTA system RLA 3300, Unity 2900, 0.18-micron thermal processing system, Zhengzhou KJ Technology,High Vacuum RTP-Rapid Annealing Furnace,RTP infrared tube heating tube furnace,RTP rapid annealing furnace,Custom RTP tube furnace,1200℃ RTP Annealing Tube Furnace with Slide-able Quartz Tube,Touch screen RTP rapid annealing furnace,900℃ Two Zone IR-Heating RTP Tube Furnace,900℃ RTP tube furnace with multi-channel proton flowmeter control system,1200℃ Crucible Movable Tube Furnace,Compact Atmosphere Controlled RTP Furnace with 4" ID Quartz Tube up to 1100ºC,900℃ Max Slideable RTP Tube Furnace,MATTSON AST Steag 2800,MATTSON AST Steag 2900,MATTSON AST Steag 3000,Steag RTP Systems, Steag Heatpulse 610,Applied Materials,Centura DPN HD,Producer Pyra Anneal,Vantage Astra DSA,Vantage RadOx RTP,Vantage Radiance Plus RTP,Steam oxidation,Applied Materials Centura RTP,Producer Pyra Anneal,radical oxidation,millisecond annealing,model-based controller,Vantage Vulcan RTP,SEMATECH Methodologies , 0.25 m Technology Thermal Applications,firstnano,EasyTube 2000,EasyTube 3000,EasyTube 3000EXT,EasyTube 101,EasyTube 6000, EasyTube 6308, Applied Materials Vantage RadiancePlus, AMAT Vantage RadiancePlus,Vantage RadiancePlus, 0.25 pm TECHNOLOGY,Lamp-based,Laser-based,Heater-based,irradiate the surface of the material,Veeco,Mattson Technology,Screen Holdings,AnnealSys,Applied Materials,Kokusai Electric,JTEKT Thermo Systems,Tokyo Electron,Centrotherm,Hitachi Kokusai Electric,Modular Process Technology,Shankar Muthukrishnan,Beyond the 100nm node, single-wafer RTP,single-wafer rapid thermal Processing, sub-100nm device design node,ultra-shallow junction activation and anneal,USJ, silicide contact formation, thermal oxidation STI formation, spike anneal ,spike annealing, within-wafer uniformity, wafer-to-wafer process uniformity, nickel silicide formation,radical-based oxidation process,rapid thermal processing spike anneal,300mm RTP spike anneal process,ramp-up methodologies, rampdown methodologies,Rapid thermal annealing apparatus,RTA furnace,Dai-ichi Kiden,Dai-ichi Kiden RSA, Combustion furnace, High vacuum heating system, Vertical high temperature heating system,Induction heating system(elevating),Ultra high temperature heating system,CreaTec Fischer,Growth Systems,Mini MBE System,LT-STM/AFM,Evaporators,Centrotherm,centrotherm c.HORICOO 300 ,horizontal batch-type system,centrotherm c.HORICOO 200 , field-proven , ultra-versatile tube furnace system ,centrotherm c.VERTICOO , batch-type wafer processing , semiconductor device fabrication, atmospheric processes, LPCVD processes,centrotherm c.ACTIVATOR, high-temperature annealing, high-volume SiC device manufacturing, electrical activation , post implantation annealing ,SiC MOSFET , diode manufacturing,Cost-efficient dopant activation,Annealing of AlN seed layers , Annealing of AlN epitaxial layers,centrotherm c.OXIDATOR , high-temperature oxidation furnace , Rapid Thermal Processing system for silicon and compound semiconductors,c.RAPID 200,fully automatic loading system,centrotherm PECVD,c.PLASMA, c.DIFF,centrotherm highly versatile diffusion,wide band gap,c.CRYSCOO HTA,AP Systems,AP Systems Korea,KORONA RTP-12MP, KORONA RTP-12LPO , KORONA RTP-12LR/LPRR,Seebeck Coefficient / Electric Resistance Measurement System ZEM-3 series,Mini Lamp Annealer MILA-5050, Atmospheric Thermoelectric Module Evaluation System F-PEM,