Top

Used Semiconductor Equipment

Category:

Description

Used Semiconductor Equipment

Valid: Subject to prior payment/sale without notice. This is only for end users. Appreciate your time!

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers.

No Maker Model
1 ESI M9830 Laser Repair
2 KLA RS75
3 KNS 8060
4 JEOL JSM6380A
5 OLYMPUS OLS3000
6 SHINHAN 200HT
7 Hitachi S-8840
8 TEL P-8XL
9  Advantest T6575
10 Teradyne IP750EMP
11 Karl Suss Microtec MA150CC TSA BSA Mask Aligner
12 Varian Kestrel II 750 8 Inches High Current Implanter
13 AMAT P5000 CVD 3 chamber
14 AMAT P5000 CVD 2 chamber
15 KLA Alpha Step
16 LAM 9600
17 KLA P17
18 Veeco Spector Ion Beam Deposition System
19 Nikon 4425i
20 Nikon SF200
21 TEL ACT2C2D track
22  Oxford  Plasmalab 80 Plus PECVD
23 Nanometric Bio-Rad Accent QS-1200
24 TSK UF200
25 TSK APM 90 A Prober
26 Novellus / Lam Concept Two Speed NExT CVD
27 Aixtron G 5 WW C SiC Epitaxy Reactor
28  KLA Tencor Prometrix SFS 6220 Wafer Testing and Metrology
29 ASML PAS 5500 / 850D
30 ASML ASML XT1950hi
31 Hitachi S-5200
32 Hitachi S-4500
33 Topcon WM-5000
34 Nikon OPTIPHOT-88-AC IN
35 Nikon OPTIPHOT-200
36 Nikon OPTIPHOT-300
37 TEL P-8
38 TEL P-12XL
39 TEL TE8500
40 TEL Telius SP-Vesta
41 TEL Telius
42 TEL UW300Z
43 TEL UW8000
44 KLA Tencor Candela CS920
45 XLA165
46 SEIKO SIR3000
47  Amat verasem 3D
48  Nikon NSR 2205 i12D
49 T5503HS  with  M6243
50 Nikon NSR-1505 i7A
51 Zeiss EVO 50 VP with EDAX SEM
52 KLA Tencor SFS SP2
53 KLA Tencor SP1 Classic
54 Advantest T5377S
55  AMAT Applied Materials P5000 Oxide Etch 3 Chambers
56  KLA Tencor Quantox 64100
57 KLA-TENCOR KLA2131
58 PSK DAS 2000
59 TEL ACT8 (4C3D)
60 TEL ACT8 CSB SMIF
61 UNAXIS SLR-720
62 Nikon NSR-SF200
63 TEL Precio Nano
64 TERADYNE IP750EX
65 THERMONICS T-2500SEA
66 Hitachi  s-4800 Ii + EDX
67 KOKUSAI QUIXACE
68 Datacon BESI 2200 EVO
69 Fusion 200PCU
70 Semitool A870S
71 ASM Eagle Xtreme Wire Bonder
72 CS20
73 FEI Helios NanoLab 600 Focused Ion Beam
74 Axcelis NV 8250HT
75 Advantest M6741A
76 Canon MAS-8000
77 KLA Tencor  8100XP CD-SEM
78 Nextest Teradyne Magnum 2
79 Ebara EPO 112 CMP 200 mm
80 Novellus C1
81 TEL INDY
82 TEL ALPHA- 8SE-Z
83 AMAT P5000
84 THOMAS SWAN 3 X 2 GAN
85 Novellus Gamma 2100
86 RUDOLPH AXI-S930B
87 BROOKS MTX2000
88 HITACHI N-6000
89 HITACHI S-5000
90 DISCO
CORPORATION
DAD522
91 MSP CORP. 2300XP1
92 SEMILAB PMR_3000
93 LEICA INS 3000
94 GAERTNER L115C-8
95 NOVA NOVASCAN 3090
P/N 390-10000-11
96 NEXTEST MAGNUM II EV ICP
97 NEXTEST MAGNUM II ICP 1280
98 NEXTEST MAGNUM ICP 1280
99 MOSAID M420501
100 AUTEC ATC-70B-RS
101 Accretech uf200 (many)
102 Accretech UF3000EX-e
103 Accretech/TSK UF200
104 ADE ADE 9350
105 Advanced Engineering UV-951
106 Agilent 7500A ICP-MS
107 AKRION Rearmount
108 AKRION Gamma Bench
109 AMAT Centura ACP EPI
110 AMAT VIISTA HCPI
111 AMAT ULTIMA X
112 AMAT Centura EPI
113 AMAT Centura 5200ES MxP Poly Etch
114 AMAT CENTURA MXP
115 AMAT EV 200
116 AMAT eMAX CT+
117 Anelva I-2100
118 Applied Materials 200mm Centura II
119 Applied Materials P5000
120 Applied Materials P5000 dual chamber MxP
121 Applied Materials Centura DTI
122 Applied Materials 5200 Centura
123 Applied Materials 5200 HDP Centura
124 Applied Materials Centura DPS
125 Applied Materials Centura DTI
126 Applied Materials 5200 HDP Centura
127 Applied Materials 5200 Centura
128 Applied Materials Centura DPS
129 Applied Materials 9500XR
130 Applied Materials Endura PVD 5500
131 Applied Materials (AMAT) P-5000 Mark II DLH PECVD TEOS
132 Applied Materials (AMAT) Centura Ultima Plus
133 Applied Microengineering Ltd (AML) AWB08
134 ASM Epsilon 2000 One
135 ASM Eagle XP5 PEALD
136 Asyst Versaport 2200 Indexer
137 ATV Technologie GmbH PEO-603
138 Aviza FXP
139 Axcelis 6200AV
140 Axcelis GDS VHE
141 Axcelis Technologies OPTIMA_HD
142 Axcelis Technologies Inc. RapidStrip 210ES
143 Biorad QS 2200 M
144 Brooks MTX2000/2 300mm Wafer Sorter
145 BROOKS AUTOMATION Fixload 6M Load Port
146 Bruker Dimension Icon
147 Bruker GT-X Contour
148 Bruker QC3
149 CAMALOT Speedline
150 Canon FPA 2000 I1
151 Canon FPA 3000 i5
152 Canon FPA 3000 i5+
153 Canon FPA 3000 I4
154 Canon FPA 2500 I3
155 CDE CDE 463
156 Cybeq Cybeq 8000
157 Cymer XLA 165 Laser,
158 Dage 4000 Bond tester
159 Dage 5000 Bond Tester
160 Datacon 2200 apm Die Bonder
161 DEK HORIZON O2I
162 Disco DTG8440
163 DISCO DFD6340
164 DISCO DFD6361
165 Disco DGP8761
166 DMS DMS reticle stocker
167 DNS 80B
168 DNS DNS622
169 Eaton NV-8250
170 Eaton GSD200E/80
171 Eaton Corp. NV-8250
172 Electroglas / Credence EG4090 / ASL1000
173 Electroglas / Credence EG4090 / TMT ASL1000-165
174 Electroglas / Credence EG4090 / ASL1000
175 Electroglas / Teradyne EG4090 / Eagle ETS200T
176 Electroglass EG2001 wafer prober (6 inch),
177 ESEC SA 3018
178 Evatec BAK 901 HP
179 Fluorware Boxclean
180 Four Dimensions CVMAP 3092-A
181 Four Dimensions 280SI Automatic Four Point Probe (for parts, not working)
182 FSI FSI Mercury
183 Fusion Semiconductor Systems 200PCUP
184 Gasonics L3300
185 Gasonics L3510
186 Hamatech HMx Square
187 Hanmi DTFS Model Cam Press Form 102
188 Heidelberg DWL200 Laser Writer
189 Hesse & Knipps BJ820 Wire Bonder
190 Hesse & Knipps / Hesse Mechatronics, Inc. Bondjet 810
191 Hitachi Hitatchi S 9220
192 Hitachi CG4000
193 Hitachi S-8820
194 Hitachi S9380
195 Hitachi S9300
196 Hitachi S9220
197 Hitachi Hightech S-4500
198 Hitachi Hightech FIB
199 Hitachi Hightech PD3000
200 Innolas Wafermarker
201 Innolas C3000DPS Wafer Marker
202 Irvine Optical Corporation APS 2000
203 Jordan Valley JVX5200 T
204 K&S Kulicke & Soffa 4523 Manual Wedge Wire Bonder
205 Keithley S600 tester
206 Keithley S400 tester
207 KLA RS 35C
208 KLA ALTAIR 8920
209 KLA FT-700
210 KLA TENCOR P2-H
211 KLA ALPHA-STEP 200
212 KLA SURFSCAN 6220
213 KLA SURFSCAN 7700
214 KLA AIT 1
215 KLA uv1280
216 KLA 6220 / 6400 / 6420
217 KLA KLA-5100XP
218 KLA FLX2320S
219 KLA FLX5400
220 KLA Candela 6100 Wafer Test x 4 Sets,
221 KLA Tencor SP1/SP2/SP3
222 KLA Tencor Archer 200
223 KLA Tencor Archer 500
224 KLA Tencor Archer 500U
225 KLA Tencor Alpha-Step 500 Surface Profiler (for parts, not working)
226 Kokusai DJ-823V-8BL
227 Kokusai Lambda 300LE Asher
228 Kokusai Lambda 300N Asher
229 Kokusai Quixace Ultimate TEOS Diffusion Furnace, 12 Inches, No HDD, Asia.
230 Koyo Lindberg Limited (JTEKT) VF-5100
231 LAM Rainbow 4506I
232 LAM Rainbow 4528XL
233 LAM Rainbow 4528I
234 LAM Rainbow 4506I
235 LAM Rainbow 4526XL
236 LAM Ontrak Synergy
237 LAM Ontrak series II
238 LAM Rainbow TCP 9600SE II standalone with DSQ
239 LAM Alliance 9100PTX
240 Lasertech 9MD82
241 Leica Mis 200
242 Leica BMLB Teaching Biological Microscope
243 Matrix Integrated Systems Bobcat 208
244 Mattson 2800 SMIF
245 Mattson Aspen III ICP Etcher Ashers
246 Mattson Technology SHS 2800
247 Mechatronic mWS200/300t Wafer Sorter
248 Memmert Memmert
249 Metron MGI
250 Nanometrics RPM Blue
251 Nanometrics Nano Spec8000
252 NexGen MG21
253 NEXX APOLLO XP
254 Nikek / Leitz Nidek waferloader + Leitz microscope
255 Nikon NWLxxx waferloader + Eclipse microscope
256 Nikon NSR-2205i12D
257 Nikon NSR-4425i
258 Nikon V-12A
259 Nikon SF130
260 Nikon i11D/i12D
261 NITTO RAD-3020F
262 Nitto Denko DR8500-II
263 Novellus Systems Concept Two SPEED
264 Oerlikon Versaline
265 Olympus IX70 Inverted contrast Fluorescence Microscope
266 Olympus MX61L-F
267 Olympus CX21 Phase Microscope
268 ONTO (former Rudolph) S2000
269 Picosun P200S
270 PolyFlow PolyFlow
271 Powatec Wafer Mounter
272 Presa VMS363
273 PROEMTEC EPK III 150 Pressure Burst Tester
274 Prometrix UV-1250SE
275 Rigaku SYSTEM3630
276 Rudolph FE -VII
277 Rudolph MP200 Contactless Metal Thickness Measurement
278 Rudolph MPC 200XCu
279 Rudolph Technologies, Inc. Vanguard SpectraLASER 200XL
280 Screen SD-W80A-AVP
281 Screen SP-W813-U
282 Screen FC-821
283 SDI FAaST 230-DP-SPV
284 Semilab SDI-FAAST_230
285 Semitool see attached type lable
286 Semitool Semitool Rinser/Dryer
287 Semitool Solvent Spray Tool
288 Semitool Solvent Spray Tool
289 Semitool Sirius
290 Semitool Inc. SST-C-421-280
291 SEZ Spinetser RST200
292 Siconnex SAT200
293 Soluris IVS130
294 STEAG Heatpulse 8108
295 Suess Gamma
296 Suess MA150E
297 Suss Microtec CB200 High Pressure Bonding Chamber
298 Suss Microtec Falcon Polyimid Developer
299 Suss Microtec AG ACS200
300 SVG SVG7000 – BROOKS(AMAT) Sentinel, ver 3.4
301 TB-Ploner tbp QBL-150 Flat and Notch Aligner
302 Tegal Tegal 901e
303 Tegal Tegal903e
304 TEL Precio Octo
305 TEL ALPHA 658SD – TEL NGC-T4. ver1.65.0001
306 TEL ALPHA 8SD – TEL NGC-T4. ver1.65.0001
307 TEL Waves (ALPHA 8SE-D) – TEL Ingenio for Waves, ver 3.22
308 TEL Clean Track Mk V
309 TEL Clean Track Mk VII
310 TEL Clean Track Mk VIII
311 TEL Clean Track Mk Vz
312 TEL Clean Track Mk VII
313 TEL VDF-615S – TEL NGC-M3. ver1.02.0001
314 TEL Waves (ALPHA 8SE-D) – TEL Ingenio for Waves, ver 3.22
315 TEL VDF-615S – TEL NGC-M3. ver1.02.0001
316 TEL ALPHA 8SD – TEL NGC-T4. ver1.65.0001
317 TEL ALPHA 658SC – TEL NGC-T4. ver1.65.0001
318 TEL Waves (ALPHA 8SE-C) – TEL Ingenio for Waves, ver 3.22
319 TEL VCF-615S – TEL NGC-M3. ver1.02.0001
320 TEL Clean Track MVII
321 TEL Clean Track MVIII
322 TEL ALPHA 8S-CVN – TEL NGC-T4. ver1.65.0001
323 TEL Waves (ALPHA 8SE-D) – TEL Ingenio for Waves, ver 3.22
324 TEL Clean Track MVII
325 TEL VCF-615S – TEL NGC-M3. ver1.02.0001
326 TEL ALPHA 658SC – TEL NGC-T4. ver1.65.0001
327 TEL ALPHA 8S-CV – TEL NGC-T4. ver1.65.0001
328 TEL Waves (ALPHA 8SE-C) – TEL Ingenio for Waves, ver 3.22
329 TEL P12XLn
330 TEL Lithius
331 TEL P12XL
332 TEL CLEAN TRACK MK7
333 TEL / Teradyne Precio Octo / Eagle ETS200T
334 Teradyne Catalyst Mixed Signal
335 Teradyne J750
336 Thermco THERMCO 5200 – BROOKS (AMAT) Sentinel, ver 2.4
337 Thermco THERMCO 6200 – BROOKS (AMAT) Sentinel, ver 2.4
338 Thermco THERMCO 8204 – BROOKS (AMAT) Sentinel, ver 2.4
339 THERMO FISHER SCIENTIFIC OM100
340 TSK UF200 prober
341 UNAXIS CLC200 PVD Sputter
342 Varian E220
343 Varian 350D Ion Implanter
344 Veeco M3303
345 Wafersorter TB Ploner Wafersorter TB Ploner
346 Watkins Johnson WJ-999R
347 YES YES-450PB_8-2P-CP
348 Yushin WSS Demount Tool DM5
349 Yushin WSS8001D Demounter
350 AMAT  Endura 2 lmp Preclean chamber ALD TXZ
351 AMAT  Endura 2 ALD TXZ chamber 2sets
352 AMAT  Endura 2 Preclean
353 AMAT  Centris Mesa etch (full parts)
354 AMAT Centris Mesa etch 300mm
355 AMAT  Semitool EFEM
356 AMAT EDR 5200 +
357 AMAT 2300 Excelan flex
358 AMAT VIGUS Etch 2 chamber

Please contact us for more information on the product:

[dynamichidden dynamichidden-813 "CF7_URL"]

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

SS5305-S-10 and 101~ on 11/11/2024

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers