Main Maker

Equipment

Category:

Description

Please contact us for the availability of the used semiconductor equipment.The items are in Asia and are subject to prior sale without notice. The items are only for end users.

1 AMAT CENTURA 5200 CVD DxZ (SiLAINE)
2 AMAT CENTURA 5200 CVD WxZ
3 AMAT CENTURA 5200 CVD WxZ
4 AMAT CENTURA 5200 CVD WxZ
5 AMAT CENTURA EMxP+ CVD 3CH (Optima Type)
6 AMAT CENTURA MCVD CVD Tungsten 4CH (Optima Type)
7 AMAT CENTURA MCVD CVD WxZ Optima
8 AMAT CENTURA MCVD CVD WxZ Optima
9 AMAT CENTURA MCVD CVD WxZ Optima
10 AMAT CENTURA MCVD CVD WxZ Optima
11 AMAT CENTURA MCVD CVD WxZ Optima
12 AMAT CENTURA MCVD CVD WxZ Optima
13 AMAT CENTURA MCVD CVD WxZ Optima
14 AMAT CENTURA MCVD CVD WxZ Optima
15 AMAT CENTURA MCVD CVD WxZ Optima
16 AMAT P5000 CVD Delta Dlh
17 AMAT P5000 CVD Delta Dlh
18 AMAT P5000 CVD Delta Teos
19 AMAT P5000 CVD Delta Teos
20 AMAT P5000 CVD Delta Teos
21 AMAT P5000 CVD Delta Teos 3ch, Sputter 1ch
22 AMAT P5000 CVD Delta Teos 3ch, Sputter 1ch
23 AMAT P5000 CVD Delta Teos 3ch, Sputter 1ch
24 AMAT P5000 CVD Delta Teos 3ch, Sputter 1ch
25 AMAT P5000 CVD Delta Teos 3ch, Sputter 1ch
26 AMAT P5000 CVD Delta Teos 3ch, Sputter 1ch
27 AMAT P5000 CVD DxL
28 AMAT P5000 CVD DxL
29 AMAT P5000 CVD DxL
30 AMAT P5000 CVD DxL
31 AMAT P5000 CVD DxL 2CH
32 AMAT P5000 CVD DxZ 4CH
33 AMAT P5000 CVD PECVD
34 AMAT P5000 CVD SACVD Delta ,Teos
35 AMAT P5000 CVD SACVD Delta Teos 3ch,
sputter 1ch
36 AMAT P5000 CVD Sputter
37 AMAT P5000 CVD Teos
38 AMAT P5000 CVD Teos
39 AMAT P5000 CVD Teos
40 AMAT P5000 CVD Teos 2ch, Sputter 2ch
41 AMAT P5000 CVD Teos 2ch, Sputter 2ch
42 AMAT P5000 CVD Teos 2ch, Sputter 2ch
43 AMAT P5000 CVD Teos 3ch, Sputter 1ch
44 AMAT P5000 CVD Teos, DxL
45 AMAT P5000 CVD WxL
46 AMAT P5000 CVD WxL
47 AMAT P5000 CVD WxL
48 AMAT P5000 CVD WxZ
49 AMAT P5000 CVD WxZ
50 AMAT P5000 CVD WxZ
51 AMAT P5000 CVD WxZ 1ch, Sputter 1ch
52 AMAT P5000 CVD WxZ 1ch, Sputter 1ch
53 AMAT P5000 CVD WxZ 2ch, Sputter 1ch
54 AMAT P5000 CVD WxZ Mark II
55 AMAT CENTURA 5200 ETCHER MxP Poly
56 AMAT P5000 ETCHER Mark II Metal
57 AMAT P5000 ETCHER Mark II OXIDE
58 AMAT P5000 ETCHER MxP
59 AMAT P5000 ETCHER MxP+ metal
60 AMAT P5000 ETCHER MxP+ metal
61 AMAT P5000 ETCHER MxP+ Poly
62 AMAT P5000 ETCHER No Chamber (PLIS type)
63 AMAT Centura RTP RTP Tpcc / Xe+ / Atm
64 AMAT Centura RTP RTP Tpcc / Xe+ / Atm
65 AMAT Centura RTP RTP Tpcc / Xe+ / Rp(ISSG)
66 AMRAY SEM-3800C MET
67 APPIIED BOISYSTEM ABI-3700 ANALYZER MET Automatic Sequencer
68 AUGUST CV-9812 MET Wafer Carrier Inspection Tool
69 AXCELIS Fusion 200 MCU ASHER Asher
70 BMR HIDEP CVD PECVD
71 BMR GAN (A49-3) ETCHER Etcher
72 BROOKS METARA 7200 MET Overlay
73 BROOKS SORTER MTX 2000 ETC
74 BROOKS SORTER MTX 2000 ETC
75 CANON FPA-5000 ES3 (Open) PHOTO KrF Scanner (Gigaphoton G21K3)
76 CANON FPA-5000 ES3 (SMIF) PHOTO KrF Scanner (NO Laser)
77 CARL ZEISS S-INDUSTRIAL ETC Zeiss Excistar S-industrial
78 CYBER OPTICS CYBERSCAN C212/110 MET Laser Measure
79 DNS SK-200W-AVPF PHOTO Coater / Developer system (2C/2D)
80 DNS SK-200W-BVPE PHOTO i-Line Photo Track Coater (3C3D)
81 DNS SK-80B-BVPF PHOTO Coater (3C)
82 DNS SK-80BW-AVPE PHOTO Coater/ Developer (2C/2D)
83 DNS SK-80BW-BVPE PHOTO Coater/ Developer (2C/3D)
84 DNS SK-W80B-AVPE PHOTO Coater/ Developer (2C/2D)
85 DNS SK-W80B-AVPE PHOTO Coater/ Developer (2C/2D)
86 DNS SK-W80A-AVP PHOTO Color Track (2C 1D)
87 DNS SKW-80A-AVPE PHOTO Developer (3D)
88 DNS SKW-80A-AVPE PHOTO Track (1C2D)
89 DNS SD-W80A-AVP PHOTO Developer system (1D)
90 DNS SSW-60A-AR PHOTO Scrubber (1F/1B)
91 DNS SSW-60A-AR PHOTO Scrubber (4B)
92 DNS SSW-80A-A PHOTO Scrubber (Part machine)
93 ELECTROGLAS EG4090 PROBER Automatic Wafer Prober
94 ELECTROGLAS EG4090 PROBER Automatic Wafer Prober
95 ELECTROGLAS EG4090 PROBER Automatic Wafer Prober
96 ELECTROGLAS EG4090 PROBER Automatic Wafer Prober
97 ELECTROGLAS EG4090U PROBER Automatic Wafer Prober
98 ELECTROGLAS EG4090U PROBER Automatic Wafer Prober
99 EO TECHNOLOGY FOR GLASS PANEL MET Laser Marker for Glass
100 ESI M9275 MET Laser Repair
101 ESI M9825 MET Laser Repair
102 ESI M9825 MET Laser Repair
103 ESI M9825 MET Laser Repair
104 ESI M9830 MET Laser Repair
105 ESI M9830 MET Laser Repair
106 FSM SYMPHONYMC MET Life Time
107 GASONICS IRIDIA 4800 DL ASHER Stripper / Asher
108 GASONICS IRIDIA 4800 DL ASHER Stripper / Asher
109 GASONICS IRIDIA 4800 DL ASHER Stripper / Asher
110 GASONICS IRIDIA 4800 DL ASHER Stripper / Asher
111 GASONICS IRIDIA 4800 DL ASHER Stripper / Asher
112 GEMINI GEMINI III E CVD EPI Reactor Batch
113 HITACHI RS-4000 MET Defect Review Sem
114 HITACHI RS-4000 MET Defect Review Sem
115 HITACHI S-4160 MET FE Sem
116 HITACHI S-5000 MET FE Sem
117 HITACHI S-5000 MET FE Sem
118 HITACHI S-5000 MET FE Sem
119 HITACHI S-5000 MET FE Sem
120 HITACHI S-5000 MET FE Sem
121 HITACHI S-5000 MET FE Sem
122 HITACHI S-7800 MET CD Sem
123 HITACHI S-7800HSA MET CD Sem
124 HITACHI UA-7200 ASHER Stripper/Asher
125 HITACHI LS-6800 MET Wafer Surface Inspection
126 J.A.WOOLAM VUV-VASE VU302 (Gen I) MET Ellipsometer
127 JEOL JWS-7500E MET Wafer Inspection System
128 JEOL JSM-5600 MET CD Sem
129 JEOL JSM-6340F MET FE Sem
130 JEOL JSM-6700F MET FE Sem
131 JEOL JWS-7500E MET Wafer Inspection System
132 KARLSUSS ACS 200 PHOTO Coater / Developer
133 KARLSUSS RC 16 PHOTO Spin Coater
134 KARLSUSS RC 16(RC5) PHOTO Spin Coater
135 KARLSUSS PM8 PROBER Prober
136 KLA_TENCOR 2132 (mainbody only) MET Inspection Unit
137 KLA_TENCOR 2350 MET High-Resolution Imaging Inspection
138 KLA_TENCOR 2350(Smif type) MET High-Resolution Imaging Inspection
139 KLA_TENCOR AIT MET Particle Review
140 KLA_TENCOR AIT I MET Patterned Wafer Inspection
141 KLA_TENCOR AIT UV MET Darkfield Defect Inspection
142 KLA_TENCOR AIT XP+ MET Darkfield Defect Inspection
143 KLA_TENCOR Archer AIM MET Overlay
144 KLA_TENCOR FLX-2320 MET Film stress measurement
145 KLA_TENCOR FLX-2320 MET Film stress measurement
146 KLA_TENCOR P-2 MET Disk Profiler
147 KLA_TENCOR P-12 MET Disk Profiler
148 KLA_TENCOR PROMETRIX FT750 MET Film Thickness Measurement
149 KLA_TENCOR PROMETRIX FT750 MET Film Thickness Measurement
150 KLA_TENCOR SP1 Tbi MET Unpatterned wafer inspection
151 KLA_TENCOR THERMA-WAVE OP
2600
MET Opti-Probe
152 KLA_TENCOR THERMA-WAVE OP
2600
MET Opti-Probe
153 KLA_TENCOR THERMA-WAVE OP
2600
MET Opti-Probe
154 KLA_TENCOR THERMA-WAVE OP 2600B MET Opti-Probe
155 KLA_TENCOR THERMA-WAVE OP 2600B MET Opti-Probe
156 KLA_TENCOR THERMA-WAVE OP 2600B MET Opti-Probe
157 KLA_TENCOR THERMA-WAVE OP 2600B MET Opti-Probe
158 KLA_TENCOR THERMA-WAVE OP
2600 DUV
MET Opti-Probe
159 KORNIC           (AP system) RTP-600M CVD RTP, Wafer Diffusion
160 LABOTECH Hot Plate PHOTO Photonics
161 LAM 4720 ETCHER Oxide Etch
162 LAM Raindow4500 ETCHER Etcher
163 LASERTEC BGM300 MET Wafer Surface Analyzing and VIsualization System
164 LEICA INS-2000 MET Review Station
165 LG SEMICON CLS-9002 MET 3rd Optical Inspection
166 LINDA IV5_HI MET
167 LUMONICS SUPERCLEAN ETC Laser Marking System(Lamp type)
168 NANO OPTICS HAZE 2 ETC Nano Optics Haze 2
169 NANOMETRICS 9-7200-0195E MET Mask & Wafer Inspection
170 NEC SL-473D2 MET Si Wafer Marker
171 NEC SL-473F MET Si Wafer Marker
172 NIKON 3 MET Opti station
173 NIKON 3 MET Opti station
174 NIKON 3A MET Opti station
175 NIKON NSR-4425i PHOTO Stepper (left)
176 NIKON NSR-4425i PHOTO Stepper (left) (FIA)
177 NIKON NSR-S204B PHOTO Scanner DUV
(GigaphtonI G20K2 KRF laser)
178 NIKON NSR-S204B PHOTO Scanner DUV
(GigaphtonI G20K2 KRF laser)
179 NIKON NSR-S306B PHOTO Scanner DUV
180 NOVELLUS Concept 2 Speed CVD Triple Chamber, MAG 2000
181 OXFORD 800+ ETCHER RIE
182 PLASMA THERM WAFER/ BATCH 740 ETCHER Dual Plasma Etch And Rie
183 PLASMA THERM WAFER/ BATCH 741 ETCHER Dual Plasma Etch And Rie
184 PSC DES-220-456AVL ETCHER Dry Etching System
185 RAYTEX RXW-800 MET Edge Scan
186 RIGAKU XRF3640
(Handle include)
MET Wafer/ Disk Analyzer
187 RIGAKU XRF3640
(Handle not include)
MET Wafer/ Disk Analyzer
188 RUDOLPH FE-3 MET Focus Ellipsometer
189 RUDOLPH FE-4D MET Focus Ellipsometer
190 RUDOLPH WS2500 MET
191 RUDOLPH WS2500 MET
192 RUDOLPH WS2500 MET
193 RUDOLPH WS2500 MET
194 RUDOLPH MP1-200 MET Film thickness measurement
195 RUDOLPH MP1-200 MET Film thickness measurement
196 RUDOLPH MP1-200 MET Film thickness measurement
197 RUDOLPH MP1-200 MET Film thickness measurement
198 SSM 5200 MET Resistivity
199 SVS MSX1000 ETC TRACK_SVS#02
MSX1000
200 TEGAL PLASMA 900E ETCHER Plasma Dry Etch
201 TEGAL PLASMA 903E ETCHER Plasma Dry Etch
202 TEKRONIX 1765 MET Vector Analyzer
203 TEL 8500 ETCHER Etcher
204 TEL 8500 ETCHER Etcher
205 TEL 8500 ETCHER Etcher
206 TEL 8500 ETCHER Etcher
207 TEL P-8 PROBER Automatic Wafer Prober
208 TEL P-8 PROBER Automatic Wafer Prober
209 THERMO FISHER ECO 1000 MET FTIR System
210 VARIAN MBB PVD
211 VARIAN M2I PVD Sputter
212 VARIAN M2I PVD Sputter
213 GYE-12000 ETC Evaporator System

Please contact us for more information on the product:

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

SS5588

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers