Used Semiconductor Equipment

 

Category:

Description

Please contact us for the availability of the used semiconductor equipment.

No Category Manufacturer Model Vintage Wafer Size Notes
1 Aligner Canon PLA-600FA Jan-00 4 Contact Aligner
Aligner Canon PLA-500
Karl Suss MA150 (BSA) 6inch
2 Annealer DNS LA820 Apr-03 8 Anneal
3 Asher Canon MAS-8220 6 Plasma Asher
4 Asher Canon MAS-8220 6 Plasma Asher
5 Asher Canon MAS-8220 6 Plasma Asher
6 Bake Axcelis(Eaton/Fusion) 200PC 8 UV Cure
7 Bake ORC MANUFACTURING VUM-3359 Feb-06 8 UV Exposure System
8 Bake Ushio UMA-2003 Jan-06 12(300mm) UV Cure
9 Bake Ushio UV-CURE UNIHARD Aug-97 8 UV Cure
10 Bonder Canon Machinary BESTEM-D01R Jun-10 3 LED
11 Bonder Canon Machinary BESTEM-D01R Jun-10 3 LED
12 Bonder Canon Machinary BESTEM-D01R Jun-10 3 LED
13 Bonder Canon Machinary BESTEM-D01R Jun-10 3 LED
14 Bonder Canon Machinary BESTEM-D01R Jun-10 3 LED
15 Bonder Canon Machinary BESTEM-D10SP May-11 4 LED
16 Bonder Canon Machinary BESTEM-D10SP Jun-11 4 LED
17 Cleaning/WET DNS MP-3000 Sep-03 12(300mm) Wet
18 Cleaning/WET DNS MP-3000 Feb-06 12(300mm) Wet
19 Cleaning/WET DNS MP-3000 Jun-04 12(300mm) Wet
20 Cleaning/WET DNS SR-2000 Mar-03 8 Spin Etcher
21 Cleaning/WET DNS SU-3000 Dec-05 12(300mm) Wet
22 Cleaning/WET FSI MERCURY Oct-95 8 Post CMP, HNO3,H2O2, HF, HN4OH
23 Cleaning/WET Hugle Electronics UPC-8300 8 SMIF, POD Cleaner
24 Cleaning/WET Hugle Electronics UPC-8300 Oct-01 8 Cassette cleaner
25 Cleaning/WET SAMCO PXA-100 May-14 8 Plasma Cleaner
26 Cleaning/WET SAMCO PXA-100 Jun-14 8 Plasma Cleaner
27 Cleaning/WET Sawa Corporation SC-A22 Oct-13 8 Metal Mask Cleaner
28 Cleaning/WET Semitool Raider Sep-09 12(300mm)
29 Cleaning/WET TEL PR-300Z Nov-02 12(300mm) Wet
30 Cleaning/WET TEL PR-300Z Jun-05 12(300mm) Wet
31 Cleaning/WET TEL PR-300Z Nov-02 12(300mm) Wet
32 Cleaning/WET TOYOKO STORM 12(300mm) FOUP Cleaner
33 Coat/Deve DNS SC-200W-AV Feb-00 8 SMIF, SCx2/HPx5/CPx2/2PCPx2
34 Coat/Deve DNS SC-80BW-AVG Apr-02 8 SMIF, SOGx1/CPx3/ HHx9/INDx4
35 Coat/Deve DNS SC-80BW-AVP 8 3 Coater
36 Coat/Deve DNS SC-W80A-AVG Jun-95 8 SOGx1/CPx2/SPx2/HHx4
37 Coat/Deve DNS SC-W80A-AVG Jul-96 8 SOGx1/HHx4/CPx2/INDx4
38 Coat/Deve DNS SD-200W-AVPE Apr-03 8 SMIF, SDx2/RHPx2/HPx3/CPx1
39 Coat/Deve DNS SD-200W-AVPE Apr-02 8 SMIF, SDx2/RHPx2/HPx3/CPx1
40 Coat/Deve DNS SD-200W-AVPE Apr-03 8 SMIF, SDx2/RHPx2/HPx3/CPx1
41 Coat/Deve DNS SD-200W-AVPE Apr-01 8 SMIF, SDx2/RHPx2/HPx3/CPx1
42 Coat/Deve DNS SD-80BW-AVQ Sep-96 8 SDx2/HHx12/CPx4/INDx4
43 Coat/Deve DNS SD-W200D-AVPE Jun-06 8 SMIF, SDx2/RHPx2/HPx3/CPx1
44 Coat/Deve DNS SK-2000-BVPEU Feb-01 8 SMIF, SCx3/SDx3/RHPx6/HHx2
45 Coat/Deve DNS SK-200W-AVPE Apr-03 8 SMIF, SCx2/SDx2/RHPx6/HHx2
46 Coat/Deve DNS SK-200W-AVPE Apr-03 8 SMIF, SCx2/SDx2/PEBx6/HHx2
47 Coat/Deve DNS SK-80BW-AVPE 8
48 Coat/Deve TEL Lithius Mar-06 12(300mm)
49 Coat/Deve TEL Lithius Mar-06 12(300mm)
50 Coat/Deve TEL Mark Vz Missing: T&H
51 CVD AMAT Centura SiNgen Plus Apr-04 12(300mm) LP-CVD(SiN)
52 CVD AMAT Producer SE
53 CVD AMAT Producer SE Jan-03 12(300mm) P-TEOS(USG) 2 TWIN
54 CVD AMAT Producer SE Jan-06 12(300mm) SA-BPSG 3 TWIN
55 CVD AMAT Producer SE Jan-06 12(300mm) UV Cure & SiN
56 CVD AMAT Producer SE Jan-03 12(300mm) SA-USG 2 TWIN
57 CVD ASM Eagle-12 Dec-02 12(300mm) P-TEOS
58 CVD ASM Eagle-12 Mar-04 12(300mm) P-TEOS
59 CVD ASM Eagle-12 Jun-04 12(300mm) P-TEOS
60 CVD ASM Eagle-XP Nov-10 12(300mm) P-TEOS
61 CVD Hitachi Kokusai Electric DJ-1206V-DF(Quixace1) 12(300mm) LPCVD
62 CVD Hitachi Kokusai Electric DJ-1206V-DF(Quixace1) 12(300mm) LPCVD
63 CVD Hitachi Kokusai Electric DJ-1206V-DF(Quixace1) 12(300mm) LPCVD
64 CVD Hitachi Kokusai Electric DJ-1206V-DF(Quixace2) Jun-05 12(300mm) Si3N4/HTO(ONO)
65 CVD Hitachi Kokusai Electric DJ-1206V-DF(Quixace2) Nov-07 12(300mm) Si3N4/HTO(ONO)
66 CVD Hitachi Kokusai Electric DJ-1206V-DF(Quixace2) Dec-04 12(300mm) Si3N4/HTO(ONO)
67 CVD Hitachi Kokusai Electric DJ-1206V-DF(Quixace2) 12(300mm) LPCVD
68 CVD Hitachi Kokusai Electric DJ-1206V-DF(Quixace2) Jun-08 12(300mm) Si3N4/HTO(ONO)
69 CVD Hitachi Kokusai Electric DJ-1206V-DF(Quixace2) 12(300mm) LPCVD
70 CVD Hitachi Kokusai Electric DJ-1206V-DF(Quixace2) 12(300mm) LPCVD
71 CVD Hitachi Kokusai Electric DJ-1206V-DF(Quixace2) Dec-04 12(300mm) Si3N4/HTO(ONO)
72 CVD Hitachi Kokusai Electric DJ-1206V-DF(Quixace2) Oct-07 12(300mm) Si3N4/HTO(ONO)
73 CVD Hitachi Kokusai Electric DJ-1206VN-DM(Quixace1-ALDINNA) Jul-06 12(300mm) SiO(ALD)
74 CVD Novellus SABRE xT Mar-05 8 Sabre xT 200 + Anneal Module, EBR
75 CVD Novellus SABRE xT Jan-07 8 Electro Copper Plating
76 CVD TEL ALPHA-303i 12(300mm) LP-CVD(SiN)
77 CVD TEL ALPHA-303i 12(300mm) LP-CVD(SiN)
78 CVD TEL ALPHA-303i-K Mar-05 12(300mm) DCS-SiN N2,NH3,SiH2Cl2,ClF3
79 CVD TEL ALPHA-303i-K Feb-05 12(300mm) DCS-SiN N2,NH3,SiH2Cl2,ClF3
80 CVD TEL ALPHA-303i-K Jun-05 12(300mm) BSG N2,O2,ClF3,HF,TEOS,TMB
81 CVD TEL ALPHA-303i-K Mar-05 12(300mm) BSG N2,O2,ClF3,HF,TEOS,TMB
82 CVD TEL ALPHA-303i-K Mar-05 12(300mm) BSG N2,O2,ClF3,HF,TEOS,TMB
83 CVD TEL ALPHA-303i-K Feb-05 12(300mm) DCS-SiN N2,NH3,SiH2Cl2,ClF3
84 CVD TEL ALPHA-303i-K Apr-05 12(300mm) DCS-SiN N2,NH3,SiH2Cl2,ClF3
85 CVD TEL ALPHA-303i-K Apr-05 12(300mm) BSG N2,O2,ClF3,HF,TEOS,TMB
86 CVD TEL ALPHA-303i-K Mar-05 12(300mm) BSG N2,O2,ClF3,HF,TEOS,TMB
87 CVD TEL ALPHA-8S Jul-96 8 SiN Pure N2, NH3, SiH2Cl2, SiH4
88 CVD TEL TELINDY PLUS 12(300mm) HTO Pure N2,NH3,SiH2Cl2,(N2O),ClF3
89 CVD TEL TRIAS Jun-06 12(300mm) ALD
90 CVD TEL TRIAS Aug-04 12(300mm) ALD
91 CVD WJ 999 R 3.5 no missing as-is
92 Dicer Disco DFD6340 May-06 Package Saw, 1.5x +1/3″ CCD Rectangle
93 Dicer Disco DFD6340 May-08 Wafer Saw, 6 or 8″ Round C & T
94 Dicer Disco DFD6340 Jul-07 Package Saw, 7.5x +1/3″ CCD Rectangle
95 Etcher AMAT Centura-DPS Jan-07 12(300mm) Etch
96 Etcher TEL TE8400 Aug-10 8 SiO Etch
97 Etcher TEL UN85 SCCM_Depo Apr-04 8
98 Etcher TEL UNITY IIe 855SS Jan-00 8 SCCM,STP-A1303W1
99 Etcher TOK TCE-3822 Jan-95 6 Plasma Etch
100 Etcher Lam TCP 9600 classic
101 Facility Edwards TPU Jan-00 Scrubber
102 Facility Edwards TPU Jan-02 Scrubber
103 Facility Edwards TPU-STD Apr-04
104 Facility Edwards TPU-STD Jun-04
105 Facility Edwards TPU-STD Feb-06
106 Facility Edwards TPU-STD May-06
107 Facility Edwards TPU-STD Mar-04
108 Facility Edwards ZENITH Jul-04
109 Facility Kanken Techno KT1000MFS
110 Facility Takatori ATM-1100 Feb-92 6 Taper
111 Facility Takatori FTL200 Aug-15 8 Taper
112 Facility Takatori SAR-300 Nov-13 8 Tape Remover
113 Furnace Hitachi Kokusai Electric DD-833V Jun-96 8 H2 Anneal/SOG-Cure,N2,H2
114 Furnace Hitachi Kokusai Electric QUIXACE Mar-04 12(300mm) Diffusion
115 Furnace TEL ALPHA-85-Z 8
116 Furnace TEL ALPHA-8SE-Z
117 Furnace TEL FORMULA Nov-02 12(300mm) Diffusion(NH3 Anneal)
118 Furnace TEL IW-6D Apr-97 5 Diffusion(Polyimide Cure)
119 Implanter Varian E220 May-10 8 Implant
120 Implanter Varian VIISion80 Oct-95 8 Implant
121 Inspection Agilent Technologies(HP) 4156A Mar-94 8
122 Inspection Agilent Technologies(HP) FTS-175C Apr-07 8
123 Inspection Asia Electronics PRS-800A
124 Inspection FRONTIER FSM128LC2C 12(300mm)
125 Inspection GIGA TECH TSA2000 8 Wafer Thickness Measurement
126 Inspection Hitachi High-Technologies IS-1600 Aug-10 8
127 Inspection Hitachi High-Technologies LS6600 Jul-06 12(300mm) Wafer Monitoring System
128 Inspection Hitachi High-Technologies S-5200 Jan-03 SEM
129 Inspection Hitachi High-Technologies SEM Apr-02 8
130 Inspection Hitachi Kokusai Electric VR-120S Nov-02 12(300mm)
131 Inspection KLA-Tencor Archer10XT 12(300mm)
132 Inspection KOBELCO ID SORTER Apr-07 8 DP4200SI WAFER SORTER
133 Inspection Mikasa MT60M May-06 8
134 Inspection Nanometrics 6100X 8 Film Thickness Measurement
135 Inspection NIDEK IM-15 Apr-07 8
136 Inspection NIDEK IM-15 Apr-07 8
137 Inspection NIDEK IM-15 Apr-07 8
138 Inspection NIDEK IM-15 Apr-07 8
139 Inspection NIDEK IM-15 Apr-07 8
140 Inspection NIDEK IM-15 Apr-07 8
141 Inspection NIDEK IM-15 Apr-07 8
142 Inspection Nikon OPTIPHOT-200 Sep-96 8 Metal Microscope
143 Inspection Nikon OPTIPHOT-200 Nov-99 8 Wafer Inspection
144 Inspection Olympus AL2000&Microscope 8
145 Inspection Olympus AL3110F Nov-02 12(300mm)
146 Inspection Olympus AL3110F Nov-02 12(300mm)
147 Inspection Olympus AL3110FDUV Nov-02 12(300mm)
148 Inspection Olympus AL3120F Jan-06 12(300mm)
149 Inspection Olympus AL3120F Nov-05 12(300mm)
150 Inspection Olympus AL3120F Oct-04 12(300mm)
151 Inspection Olympus AL3120F Feb-06 12(300mm)
152 Inspection Olympus WLS8000 Jun-09 12(300mm)
153 Inspection Rorze RORZ Apr-07 8 RR8131 WAFER-ID-READE
154 Inspection Rudolph Technologies CV-9812 12(300mm) CX023
155 Inspection Rudolph Technologies MetaPULSE 8 SMIF(LPO2200)
156 Inspection TOKYO AIRCRAFT MEASUREMENT EDIS3000 12(300mm) Macro Inspection
157 Inspection TOKYO AIRCRAFT MEASUREMENT MAC-92 5
158 Inspection Topcon DS-720 SEM Apr-07 8
159 Inspection Topcon VI-3200 May-09 12(300mm) Chip Visual Inspection
160 Inspection Toray Engineering INSPECTRA 1000EX-II300 Sep-05 12(300mm) Surface Monitoring System
161 Inspection Veeco Dektak-3ST Feb-99 8 Surface Profiler
162 Metrology KLA-Tencor 6220 Refurbished
163 Metrology KLA-Tencor SP1 classic initial pass
164 KLA-Tencor 2139
165 Other ELS-5405
166 Other Ebara AA200
167 Other Ebara AA200
168 Other Ebara AA70
169 Other Ebara AA70
170 Other Semitool STORM-3 Apr-07 8
171 Other Toray Engineering VE-500RBC Mar-11 8 Vacuum Printing and Encapsulation System
172 Other Trans Technology Asymtek S-920 Jul-12 Dispenser/ Conformal Die Coater
173 Other Trans Technology Asymtek S-920 Jul-12 Dispenser/ Conformal Die Coater
174 Other Novellus ESC chuck 13sets as-is good
175 Prober TEL 78S Sep-96 8 Prober
176 PVD AMAT ELECTRA ECP May-99 8 Cu ECP, 2Cell
177 PVD AMAT Endura II Jan-07 12(300mm) PVD
178 PVD ULVAC CERAUS Z-1000 Mar-98 8 PVD
179 PVD ULVAC CERAUS Z-1000 Dec-01 8 PVD
180 Stepper Nikon NSR-2205EX14C Jan-98 8 KrF Stepper
181 Stepper Nikon NSR-4425i Jun-96 8 Reticle:6inch, Loader:Type2
182 Stepper Nikon i12D Working condition
183 Stepper Ultratech UNITY AP300 May-09 12(300mm) i-Line stepper
184 Stepper Ultratech Spectrum 3 no missing as-is
185 Tester Agilent Technologies(HP) 4062F Nov-96 8 Tester
186 Tester Nikon Instech N-SISVR Field size 65X65mm
187 Tester Advantest T5371
188 Tester Multitest 9918 / 9928 Dual temp
189 Prober EG 4090U
190 Prober TSK UF200
191 Dicer Disco DFD651

Please contact us for more information on the product:

[dynamichidden dynamichidden-813 "CF7_URL"]

Your Name*:

Your Email:

Your Message:

Captchac Codecaptcha

Submit:

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers