Main Maker

Semiconductor Equipment at San Jose,CA USA

Semiconductor Equipment at San Jose,CA USA

Categories: ,

Description

Semiconductor Equipment at San Jose,CA USA. Subject to prior sale without notice. The items are only for end users.

Item OEM Manufacture TOOL NAME Model #
1 ADVANCED ENERGY ADVANCED ENERGY AE RFDS 3000 3155038-013B 208V 50/60Hz 5kW
2 ADVANCED ENERGY AE RFPP LF-10 RF Generator, 1000 Watt, Tested, Calibration Sheet
3 ADVANCED ENERGY AE, RFPP RF 10S, RF Generator, Mattson or Varian
4 ADVANCED ENERGY AE Advanced Energy 3155162-027 RF match Novellus 27-306026-00,  Navigator 10013
5 ADVANCED ENERGY Advanced Energy 3150073-001 AE RF-10S, RF Generator, 1000W Novellus G19-10071-00, New RF-10S
6 ADVANCED ENERGY AE Advanced Energy LF-30 RF generator 2.5KW, Novellus R27-032578-00 LF-30
7 ADVANCED ENERGY Advanced Energy AE 3155083-109 HFV 8000 RF Generator, AMAT 0190-01873 HFV 8000
8 ADVANCED ENERGY Advanced Energy AE 3155083-109 HFV 8000 RF Generator, AMAT 0190-01873 HFV 8000
9 Alcatel Alcatel, AMS 4200, Deep Reactive Ion Etch (DRIE) AMS 4200
10 Angstrom Angstrom Sun Technologies Transmission Spectroscopic Ellipsometer
11 Applied Material AMAT Applied Materials Precision 5000 Nitride PARC, 4 chamber, CVD tool, 8 inch, P-5000
12 Applied Material AMAT P5000 SACVD tool, 8 inch, 3 chamber, Robot, Storage Elevator, 3 Ozone Generator, 3 RF Generator, Chemical gas Cabinet, PLIS system P-5000
13 Applied Material 8115 AMAT 8115
14 Applied Material 8310 PLASMA ETCHER 8310
15 Applied Material 8330 (AMAT METAL ETCHER) 8330
16 Applied Material 8330 (AMAT METAL ETCHER) 8330
17 Applied Material AMAT 0010-38755 Centura DPS Dome Temperature Controller 0060-35212 DTCU DTCU
18 Applied Material AMAT Centura DPS Tempurature Controller DTCU, 0060-35211, 0060-35210 DTCU
19 Applied Material DPS Chamber  Heater
20 Applied Material Advanced Energy AE 5034-003-B RF Matching Network
21 Applied Material AE Navigator RF MATCH 3155132-001 C, AMAT 0920-00004
22 Applied Material AMAT 1110-01025 UV microwave plasma kit, ASTeX FI20065, FI20061, AX7610-3, Guide
23 Applied Material AMAT 0240-00884, AMAT 0190-00209 RF Match, Advanced Energy AZX90, AE 3155031-011
24 Applied Material AMAT P5000 CVD chambers, 8 inch, gas box, lift assembly
25 Applied Material AMAT 0010-70403 PVD Endura G-12 AFS LID, G12
26 Applied Material AMAT 0010-20422 PVD Endura 8 inch shield Treatment, G12 LID
27 Applied Material AMAT 0010-03341M WxZ Heater Assembly, 8 inch, New in Box with papers
28 Applied Material AMAT 0010-03347 M WxZ 6 Inch Heater Assembly. Used, untested
29 Applied Material AMAT 0010-03347 WxZ Heater Assembly, 6 inch
30 Applied Material AMAT 0010-03368 WxZ Heater Assembly, 8 inch, New in Box with papers
31 Applied Material AMAT 0010-03369 WxZ Heater Assembly, 8 inch, New in Box with papers
32 Applied Material AMAT 0010-03371 WxZ Heater Assembly, 8 inch, New in Box and papers
33 Applied Material AMAT 0010-03372 WxZ Heater Assembly, 8 inch, New in Box and papers
34 ASML SVG Track SVG 90 S Coat and Develop Track System, Size: 6” and can run 8”  Wafers,  (4) Chill Plates, (5) Hot Plates, Full Enclosure, HDMS Module, Microbar Trackmate 4-Port Chemical Delivery Cabinet Manual Control Unit. SVG 90 S
35 ATMI VECTOR Scrubber, facility tool SCRUBBER
36 AXIC AXIC 1000 XRF, Metal Thickness measurement tool 1000 XRF
37 BOC Edward IQ40 Vacuum Pump
38 BOC Edward IQ80 Vacuum Pump
39 BOC Edward QDP 40 Vacuum Pump
40 BOC Edward QDP 80 Vacuum Pump
41 BOC Edward Edwards’s IQDP-40 dry pump QDP40
42 BOC Edward Edwards IL-70 dry pump IL 70
43 BOC Edward Edwards’s IQDP-40 dry pump QDP40
44 Brooks Automation Brooks Automation Robot 017-0483-01, Reliance Robot 002-9510-38
45 Brooks Automation Asyst Asyst WX 73 Wafer Mapper, Hine Design HA4 Robot 0400-088, Robot Assembly
46 Chemcut Chemcut’s Model 2315D Spray Resist Develop system Model 2315D
47 Chemcut Chemcut’s Model 2315S Spray Resist Strip system Model 2315S
48 Cincinnati Sub-Zero CSZ Cincinnati Sub-Zero Temperature Environmental Chamber ZHS-8-1-1-H/AC ZHS-8-1-1-H/AC
49 Comdel Comdel CB5000 RF Generator, Novellus 27-335415-00
50 CPA Sputtering, PVD tool, 4 target chamber, 2 Loadlock CPA
51 Credence Credence STS 4020 chip test system STS 4020
52 CTI Helex CTI Helix  8116071G001  On-Board 8F Cryo pump AMAT 0190-13331,
53 CTI Helex CTI Helix  8116142G001 On-Board 8F Cryo pump AMAT 0190-13331,
54 CTI Helex CTI Helix Brooks Cryo pump, 8116013G005 on-board 10, FastRegen Control 810-32287
55 Delatech Delatech 858 V-2 scrubber 858
56 Delta Design Delta Design Summit ATC 1909177 P&P Handler w/ Affinity Chiller PWG-060K-BE44CB​D2 Summit ATC
57 DNS Track, developer tool, Developer rack, power rack DNS 80A
58 ESMO FAM Cart ESMO FAM Cart Failure Analytical Manipulator Cart adapted for Advantest T2000 ESMO FAM Cart
59 Fusion Fusion Semiconductor G03 Ozone Asher. Dual chamber, Dual robot arm G03
60 Gasonics Gasonic IPC Asher 2000LL Plasma Asher Etch System cassette to Cassette Automatic 2000LL
61 Gasonics GaSonics 9104 Quartz Chamber Plasma Asher 15698-02, ENI OEM-12B-06 RF Generator 9104
62 Jandel Jandel Four Point Probe RM 3000
63 Kaijo Wire Bonder
64 Karl Suss MA 200 Karl Suss MA 200 Litho Mask Aligner 200mm wafer 210AA057-03, Suss Microtec MA 200
65 Karl Suss PA 200 PA 200 Prober  manipulator PA 200
66 Keithley Keithley Digital Source Meter 2400 Keithley #2400
67 Kensington Newport Kensington Newport WH4, Robot assembly WFH4Cm.TT/LR 04-6288-01-08 EMP, New
68 KLA KLA Tencor 5107 Overlay Inspection System, KLA 5100 series KLA
69 Kullicke & Soffa 1488 plus, Wire Bonder 1488
70 LAM 4400 (LAM RAINBOW POLY ETCH), RF Generator, Chiller ETCHER
71 LAM 4500 (LAM RAINBOW OXIDE ETCH) RF Generator, Chiller ETCHER
72 LAM 4500 (LAM RAINBOW OXIDE ETCH) RF Generator, Chiller ETCHER
73 LAM 490 (LAM AUTO ETCHER) computer Base, RF Generator, Chiller AUTO ETCH
74 LAM 490 (LAM AUTO ETCHER) computer Base, RF Generator, Chiller AUTO ETCH
75 LAM 495 (LAM AUTO ETCHER) computer Base, RF Generator, Chiller AUTO ETCH
76 Leica Leica INM20 Trinocular Microscope Wafer Inspection & 5 objective W/ DIC Optics INM20
77 Leybold Oerlikon Leybold Oerlikon Turbo Pump 400110B0017 MAG 1300 C 200 ISO-F DN 25KF, Qty = 5
78 Leybold Oerlikon Leybold Mag drive 2000 turbo pump, Turbo controllers and Cables.
79 Mattson Process chambers Module with LF10 and RF30S RF generators qty =8 Chamber
80 Mattson Process chambers Module with New power plasma RPS Qty = 2 Chamber
81 Metara Metara LMS-300 TCA Trace Contaminant Analysis Tool LMS 300
82 Micro Tech Screen Printer Micro tech printer
83 Modo Modo LS-200F-10 UV Light Source Modo LS-200F-10
84 Modutek Modutek 6′ WPS Wet Processing Bench, Station, C14506-9500, C14506-8000, PVDF WPS
85 MTI MTI DZF-6050-HT/500 High Temp Compact Vacuum Oven, 2500W, 50~500 C DZF-6050-HT/500
86 MTS MARANGONI MTS MARANGONI Dryer
87 MTS Wet Bench MTS Wet Bench (Final Clean) 8 ft – 4 process tanks
88 Nanometrics Nanometrics 50-2 CD Measurement System, 3″ to 6″ Wafers, 10x Eyepieces – 5x, 10x, 40x Objectives, Includes Nanoline Computer Controller, Monitor, Keyboard 50-2 CD
89 Nanometrics Nanometrics NANOSPEC 8300X Wafer thickness measurement tool 8300
90 Neslab Thermo Fisher Thermo Neslab STEELHEAD 0 Chiller, Heat Exchanger, Centura, PVD, 423506
91 Neslab Thermo Fisher Thermo Fisher, Neslab G50 with PC200 controller
92 Novellus / LAM Novellus R02-341002-00, Ion Source Match Network, New
93 Pacific western Systems P5MS Wafer Prober, tester, power supply P5MS
94 Pacific western Systems P5MS Wafer Prober, tester, power supply P5MS
95 PAL Custom Bench Plating Cu/Sn Plating tool PAL Custom Bench
96 Perk-Elmer 4450 Perk-Elmer 4450 Sputtering System (Delta target), Crup Pump, Vacuum Pump, RF Generator, DC Power Supply PE 4450
97 Plasmatherm Plasmatherm SL-730 PECVD equipment, SL730 CVD processing tool SL-730
98 Quincy Quincy Compressor Model QGS-30 Model QGS-30
99 Ransco Ransco Despatch Fast Rate Cycling Chamber Oven with LN2 low pressure injection Temp Cycle Oven
100 Rofin Rofin Laser scribe-EasyScribe F20 EasyScribe F20
101 Rudolph Rudolph FE-3 Focus Ellipsometer, 4″ 6″ 8″ capable FE-3
102 RUDOLPH Rudolph Technologies AutoEL Automatic Ellipsometer Model IV-NIR-3, SS1, 4E, AUTO EL
103 Semiconductor Diagnostics SDI 210 Semiconductor Diagnostics SDI 210, 210E-SPV, FAaST, Wafer Measurement. SDI 210, 210E-SPV, FAaST,
104 SEMITOOL SEMITOOL SSTC742280K Polymer Remover, 2 chamber, chemical cabinet, Fire Suppression system SSTC742280K
105 SEMITOOL Semitool SST408 Solvent Chemical Stripping Resist Remover Tool, SST, 6 inch wafer, 2 chemcial tank SST408
106 SEMITOOL Semitool SSTF42120F Solvent Chemical Stripping Resist Remover Tool, 6 Inc wafer, 2 chemical tank SSTF42120F
107 Signatone/Alessy Signatone LYI S 250-6 Prober manipulator with Alessi microscope LYI-S250-6
108 Sumitomo Sumitomo SU-01C72 Aviza 815008-418 Ozone generating unit, New
109 Technical Instruments AMS 310 Mask Inspection tool AMS 310
110 Testequity Temperature cycling chamber Model 115
111 Testequity Temperature/Humidity Chamber Testequity 123H Model TH123H
112 THERMO SCIENTIFIC THERMO SCIENTIFIC 6700 FTIR MEASURE
113 VAC Glove BOX VAC Glove box (8 ft) VAC Nexus
114 VBS Auto Dewar system ADF10B
115 Vecco DEKTAK Vecco DEKTAK  SXM Atomic Force Microscope SXM Atomic Force Microscope
116 VMB Cabinet VMB (Gas Cabinets) Custom
117 Wentworth Lab Wentworth Lab 0-043-0001 Prober, Lamp, 8″ Wafer Chuck Temptronic TP03000A-2300-1 0-043-0001
118 Yield Engineering Yield Engineering Systems YES-5 Vacuum Oven YES-5
119 ZEISS AIMS ZEISS AIMS 193 Mask Qualification System w/Coherent LDU ESI 500Hz FT 193nm AIMS 193
120 Zygo Zygo KMS 450i Mask Inspection tool KMS 450i

The trademarks of the equipment and parts contained in this website belonged to the Original Equipment Manufacturers